首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
基于VHDL 的交通灯控制器设计   总被引:1,自引:0,他引:1  
翟殿棠  方敏  厉光伟 《现代电子技术》2007,30(3):187-188,191
传统的交通灯控制器多数由单片机或PLC实现,本文介绍基于EDA技术设计交通灯控制器的一种方案。EDA技术的一个重要特征就是使用硬件描述语言(HDL)来完成系统的设计文件,这在电子设计领域已得到设计者的广泛采用。给出了交通灯控制器的VHDL源程序和仿真结果。仿真结果表明该系统的设计方案正确。展示了VHDL语言的强大功能和优秀特性。  相似文献   

2.
EDA技术的一个重要特征,就是使用计算机、硬件描述语言、可编程逻辑器件采完成数字系统的设计,此技术已得到电子设计者的广泛采用。用EDA技术设计数字电子系统,具有设计快速、调试方便、研制周期短、系统可靠性高等优点。文中介绍基于EDA技术设计数字频率计的一种方案,给出了频率计的结构图和部分仿真结果。仿真结果表明该系统的设计方案正确。  相似文献   

3.
基于QuartusⅡ的带计时器功能的秒表系统设计   总被引:4,自引:0,他引:4  
郑亚民  许敏 《电子工程师》2005,31(1):59-61,68
电子设计自动化(EDA)技术使得设计者可以利用硬件描述语言和EDA技术的工具软件实现系统硬件功能.QuartusⅡ4.0是Altera公司推出的适用于不同设计对象的最新的EDA开发环境.文中以QuartusⅡ4.0为设计平台,以现场可编程门阵列(FPGA)器件为核心,设计了一个具体数字系统即带计时器功能的秒表系统,使用QuartusⅡ4.0的综合器和仿真器对系统进行了编译和仿真,得出了正确的仿真结果.  相似文献   

4.
徐献灵 《现代电子技术》2003,26(17):103-105
EDA技术是现代电子设计的重要手段,文章阐述了EDA技术的基本特征,系统级设计方法,并通过一个实例来介绍EDA技术的应用。  相似文献   

5.
陈智萍 《现代电子技术》2006,29(16):131-133
随着EDA技术的发展,数字逻辑系统的规模越来越大、集成度也越来越高,而产品的上市时间日益缩短。面对如此压力,设计者单纯地应用硬件描述语言或原理图来应付,并不是一件简单的事情。通过引入支持LPM的EDA软件工具,设计者就可以快速地设计出结构独立且硅片利用率高的产品。以基于LPM ROM设计的7段显示译码电路为例,详细阐述了应用LPM模块简化CPLD器件开发的方法。  相似文献   

6.
随着摩尔定律的放缓,通过制程微缩来提高芯片性能越来越难,基于芯粒集成的先进封装方案的重要性随之日益显现。尤其是在一些高算力芯片产品的设计上,采用芯粒集成已逐渐成为设计者们一个绕不开的性能提高手段。在2.5D先进封装方案中,CoWoS-S(chip on wafer on substrate)封装因其高带宽、低延迟及丰富的成功量产案例而被广泛应用于片上系统芯片(So C-system on chip)与高带宽内存(HBM-high bandwidth memory)的互连。然而,在CoWoS-S技术的硅中介层设计过程中,设计人员将面临严苛的信号完整性与电源完整性的综合挑战。为了解决这些挑战,Cadence作为EDA领域的创新者和领导者,开发了完整的EDA解决方案,以协助设计人员完成硅中介层的设计及签核任务。本文将介绍如何利用Cadence EDA解决方案来高效率地实现CoWoS-S硅中介层的设计与签核,内容聚焦于大电流区域的电源完整性设计以及HBM互连区域的信号完整性设计。  相似文献   

7.
用标准计数器设计4位数字频率计使用器件多,电路复杂,CPLD(复杂可编程逻辑器件)和EDA(电子设计自动化)技术已经代替传统的设计方法,逐渐成为电子系统设计者的主要设计手段。文中采用自顶向下的方法在一片CPLD芯片上实现4位简易数字频率计。详细给出了CPLD内部基于MAX+PLUSⅡ开发软件,利用VHDL硬件描述语言和原理图的输入方式来实现电路的具体过程,以及外围被测信号限幅整形电路和时基信号产生电路原理图,电路简单且便于修改,经实际电路测试,该系统性能稳定,EDA技术使数字系统的设计进入一个更新、更快的发展阶段。  相似文献   

8.
概述 电子系统设计正在发生着重要的转变。可编程逻辑器件使设计者可以开发具有千万门以上、频率超过300MHz以及嵌入式处理器的电路,能够集成完整的系统。这一技术进步通过提供ASIC领域之外的全面的方法,正在引起设计过程的转变。在迅速变化的可编程逻辑领域,EDA提供商面临的挑战是,如何提供与硅容量和复杂性同步的设计工具和方法。例如,ASIC领域用了15年来合并硅处理和基于可靠的功能性EDA软件的设计方法。这种ASIC技术曾经是工业领域的驱动力和发展方向。可以说ASIC处理造就了电子工业廉价的方案,导致了电子工业的进步和创新。…  相似文献   

9.
用PAC-Designer设计滤波器   总被引:1,自引:0,他引:1  
黄红 《今日电子》2002,(3):13-14
近年来,数字系统的EDA技术及可编程逻辑器件的发展极大地改变了传统电子系统的设计思想和实现方法,但是模拟电路的设计手段似乎还停滞不前。现在,由美国Lattice公司推出的模拟电路在系统可编程技术ispPAC使这种情况发生了变化,为模拟电路的设计自动化翻开了新的一页。ispPAC及PAC-Designer的特点 与数字系统的在系统可编程技术类似,模拟电路的在系统可编程技术允许设计者使用开发软件在计算机中设计和修改模拟电路,并通过编程电缆将设计方案下载到可编程模拟器件中,从而加快设计进程,提高系统设计的准确性,为电子系统设计人员开发产品提供了一条崭新的途径。目前由  相似文献   

10.
EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关开发软件,自动完成用软件的方式设计的电子系统到硬件系统实现,最终形成集成电子系统或专用集成芯片的一门新技术。介绍一种基于DDS原理,并采用FPGA芯片和VHDL开发语言设计的任意函数调频的任意波形信号发生器,给出了设计方案和在GW48 CK型EDA集成电路开发系统上实现的实验结果。  相似文献   

11.
信息产业是21世纪的战略性产业,而EDA技术在其中起着举足轻重的作用。EDA技术的高速发展为各国带来了机遇和挑战。本文较详细地阐述了EDA技术的发展、基本设计方法、高层次的设计和应用,介绍了高速PCB和板级系统仿真技术以及EDA技术在我所的应用情况。  相似文献   

12.
《现代电子技术》2015,(15):110-111
EDA代表了当今电子设计技巧的最新发展方向,利用EDA工具,电子设计师可以从概念、算法、协议等开端设计电子系统,大批工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的全部过程在计算机上主动处理完成。但EDA技术在优化方式上,却存在着一定的弱势,因此提出串行法新型优化方式,把耗用资源巨大、单时钟周期内完成的并行执行的逻辑块分割开,提取出相同的逻辑模块,在时间上复用该模块,用多个时钟完成相同的功能,从而在一定程度上解决EDA技术优化不足的问题。  相似文献   

13.
EDA(Electronics Design Automation)技术是随 着集成电路和计算机技术的飞速发展应运而生的一种高 级、快速、有效的电子设计自动化工具。EDA工具是以 计算机的硬件和软件为基本工作平台,集数据库、图形 学、图论与拓扑逻辑、计算数学、优化理论等多学科最 新成果研制的计算机辅助设计通用软件包。EDA是电子 设计技术的发展趋势,利用EDA工具可以代替设计者完 成电子系统设计中的大部分工作。  相似文献   

14.
ispPAC简介 自1992年美国Lattice公司推出了系统可编程(In-System Programmability)技术,增加了一种与传统数字电子系统不同的设计和实现方法。在1999年底,Lattice公司又推出了系统内可编程模拟电路,又开辟了一种模拟电路设计方法的新思维,为电子设计自动化(EDA)技术开拓了更为广阔的前景。 同数字系统内可编程大规模集成电路(ispLSI)一样,它使设计者用开发软件在计算机中设计、修改,并能进行电路特性模拟,最后通过下载电缆将设计下载至芯片中。 系统内可编程器件可以实现三种基本功能:(1)信号调整;(2)信号处理(3)信…  相似文献   

15.
《EDA技术》是电子信息类专业的重要专业课程。QuartusⅡ11.0开发软件是业界流行的EDA开发软件。本文以3人表决器为例说明该软件在《EDA技术》课程中的应用,详细介绍了在Quartus II11.0开发平台上完成设计输入、编译、仿真、引脚分配及下载验证的EDA设计流程。  相似文献   

16.
王海涛 《微波学报》2012,28(S1):491-494
提出了基于EDA仿真技术的多层PCB设计方法,借助EDA仿真技术可以使设计者对PCB板的信号完整性以及电源完整性做出更精确的判断。这对于多层PCB板的设计具有实用意义。  相似文献   

17.
由思尔芯科技(S2C公司)主办的第五届SoCIP年会分别在上海和北京举行,会议以产业合作、共创成功为主题,集中探讨了SoC设计者、硅IP供应商和EDA工具供应商通过合作提供最佳SoC设计解决方案的重要性。会议通过研讨和展览的方式,展示了全球先进的SoC/ASIC设计技术。200多位国内IC设计工程师,通过与这些来自全球领先的IP企业的面对面交流,了解了最新的SoC/ASIC技术发展。  相似文献   

18.
如果说没有EDA技术的支持,想要完成上述超大规模集成电路的设计制造是不可想象的.而反过来,生产制造技术的不断进步又必将对EDA技术提出新的要求,从而促生功能更强大的EDA工具.所以,在如今的IC产业链里面,EDA产业已经占到举足轻重的位置了.  相似文献   

19.
在广播电视节目播出部门广泛使用了音视频四选一选择器,在节目播出的过程中如果遇到播出主路音频或视频信号中断时,可以自动切换备路信号正常播出,减少了播出事故,提高了播出质量,在安全播出方面起到了重要作用。四选一系统基于数据选择器,通过发挥EDA技术优势实现模块化设计,在FPGA环境中完成了相应的数据选择器和触发器设定,利用VHDL语言实现硬件编程和时序仿真分析。本篇文章主要对EDA技术和VHDL硬件描述语言做一介绍。  相似文献   

20.
随着电子产业技术的快速发展,各类型技术手段逐步融入到数字系统设计领域之中,提升了数字系统项目设计的科技含量。通过研究EDA技术的特性及其核心内容,发现EDA技术的数字系统设计的逻辑特性、灵活特性等方面有所增强。文章就基于EDA技术的数字系统设计内容进行阐述,以期为该类型设计项目的优化处理带来启示。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号