首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
HDTV测试图像信号发生器研究与FPGA实现   总被引:2,自引:0,他引:2  
主要描述了基于SOC的高清晰度数字电视测试图像发生器的研究与实现.讨论了符合SMPTE 274M标准的18种国际上普遍采用的HDTV测试图案信号的FPGA设计与验证结果,并就系统的基本任务、总体结构、FPGA代码功能结构及典型图像--综合测试卡的发生代码功能结构设计的数学模型进行了详细阐述.FPGA采用XILINX公司的XCV300E,并采取了一种以单芯片多配置为特点的方案,在降低成本的同时充分利用FPGA资源,实现了更加完善的功能,可靠性高.  相似文献   

2.
针对专用DDS芯片功能单一的缺点,提出了基于FPGA的DDS信号发生器的设计方案。利用Xilinx公司的ISE完成了系统核心部分数控振荡器的设计,其中波形存储器通过调用IP核实现,方便且集成度高。通过功能模块仿真与最终完整电路测试,表明基于FPGA的DDS信号发生器稳定度高,分辨率高以及转换速度快,而且能够输出任意波形的信号。由于FPGA实现软核处理器,因此可以方便地对DDS进行修改与优化,具有无与伦比的灵活性。  相似文献   

3.
摘要:为提高FM信号发生器的频率准确度和稳定度,并使其相关技术参数可调,设计了一种基于FPGA和直接数字频率合成(DDS)技术的产生方法。系统以labwindows/cvi为上位机开发环境,实现FM信号调制参数的可调,并通过PCIE接口将上位机设置的FM信号控制字和波形数据传给FPGA,FPGA内部通过控制DDS核来实现FM信号的产生。测试结果表明,FM信号的频率精度高且稳定性好,最高输出载波频率达40MHz,幅度精度能达到5mV。该FM信号发生器在软件无线电、雷达目标特征识别和雷达距离探测等领域具有很高的应用价值和广阔的应用空间。  相似文献   

4.
基于Verilog HDL的DDS信号发生器的设计与实现   总被引:1,自引:0,他引:1  
基于数字频率合成(DDS)技术,采用分频方法,设计了一种可输出任意起始相位和一定频率范围的正弦波、方波、锯齿波、三角波的信号发生器.在QuartusII软件上进行仿真,结果表明,设计的DDS信号发生器达到预期要求,系统集成度高、扩展性好、控制灵活.  相似文献   

5.
阐述了数字电视信号发生器基本原理及基于片上系统SoC(System on Chip)的FPGA具体实现。该系统能产生符合国际标准的18种普遍采用的数字电视测试用图像信号,并提供YPbPr,RGB两种视频数字和模拟输出接口。对FP-GA内部逻辑功能结构及系统的硬件构成进行了详细的说明,用单芯片多配置的方案来降低对主芯片的要求,降低了产品成本,缩短了开发周期。该数字电视信号发生器具有精度高、可靠性高、电路简单、体积小等特点。  相似文献   

6.
提出了基于FPGA的显示器测试信号发生器的实现方案.以软件为基础实现对HDTV及计算机显示器的测试功能,设计思想灵活,兼容性强.可提供满足14种计算机并兼容4种HDTV视频标准的13种测试图案信号.  相似文献   

7.
基于FPGA的DDS正弦信号发生器的设计和实现   总被引:17,自引:0,他引:17       下载免费PDF全文
余勇  郑小林   《电子器件》2005,28(3):596-599
利用FPGA芯片及D/A转换器,采用直接数字频率合成技术,设计实现了一个频率、相位可控的正弦信号发生器,同时阐述了直接数字频率合成(DDS)技术的工作原理、电路结构,及设计的思路和实现方法。经过设计和电路测试,输出波形达到了技术要求,控制灵活、性能较好,也证明了基于FPGA的DDS设计的可靠性和可行性。  相似文献   

8.
基于直接数字频率合成(DDS)技术,采用现场可编程门阵列(FPGA),通过对DDS芯片AD9910的控制,实现多通道信号发生器的设计。所设计的信号发生器具有高频率精度、低杂散、捷变频的特点,并可编程调整输出频率值以及多路输出信号之间的相位值。实测结果表明,本文所研究的方法和研制的系统是可行、有效的,具有广阔的应用前景。  相似文献   

9.
以CPLD为核心器件实现了符合我国高清数字电视视频信号接口参数标准的HDTV图像测试信号发生器的设计,对系统基本原理、CPLD内部逻辑功能设计和硬件构成进行了详细介绍.系统采用MAX+PLUS II软件进行编译、仿真,性能稳定.采用CPLD简化了电路的设计,易于功能扩展.  相似文献   

10.
分析了DDS技术的基本原理和基本结构,介绍了一种基于FPGA的DDS信号发生器设计方法。以FPGA芯片EP2C35F672C8为核心器件,辅以必要的模拟电路,在Quartus II9.0平台下实现系统设计的综合与仿真。实验测试表明该信号发生器输出的波形具有平滑、稳定度高和相位连续等优点,具有一定的工程实践意义。  相似文献   

11.
为满足某型飞机塔康设备检测仪器要求,对其提供稳定、可靠、多样的塔康地面信标信号.设计利用Ahera公司的EP4CE6E22C8为控制核心,以DAC813JP为DA转换器,运用DDS基本原理,通过QuartusⅡ软件编写塔康地面信标信号发生器的每个单元模块,最终完成整个设计方案.并进行了Matlab与QuartusⅡ相结合的仿真验证,同时设计连接了外部电路.相较于传统塔康地面信标信号发生器操作简单,便于升级,能够满足检测仪器的各项要求.  相似文献   

12.
直接数字频率合成技术(DDS)由于具有频率分辨率高、频率切换速度快、相位噪声低和频率稳定度高等优点,广泛应用于通信、航空航天、仪器仪表等领域。本文以FPGA为核心,以硬件设计语言VHDL为系统逻辑描述手段,设计了基于DDS原理的可移相、变频的正弦信号发生器。仿真及实验结果表明:该系统具有输出频率稳定、频率精度高,频率和相位可调等特点。  相似文献   

13.
介绍一种应用Nios Ⅱ嵌入式处理器的可编程片上系统(system-on-a programmable-chip,简称SOPC)技术来实现信号发生器的设计方案.该系统以Altera公司的Cyclone系列现场可编程门阵列(FPGA)为数字平台,将微处理器、总线、存储器和I/O接口等硬件设备集中在一片FPGA上,并利用数字调制技术使系统得到了正弦波、方波和三角波等.通过FPGA中双口RAM的数据读写产生波形.再充分利用片上资源,提高系统的精确度、稳定性和抗干扰性能.  相似文献   

14.
高鹏  秦文华  李得东  李梦 《电子技术》2013,(11):22-25,21
函数信号发生器在电路实验和设备检测中具有十分广泛的用途。本设计应用Altera公司的Cyclone II系列芯片基于Nios II嵌入式处理器的SOPC技术,设计完成了双踪函数信号发生器系统。本设计基于DDS原理,结合Nios软核作为外围和数据控制器,同时较全面地利用Quartus和NiosIDE的设计方法,使单片FPGA芯片实现高精度、高频率的双通道各信号源的产生。仿真结果表明,本函数信号发生器频率及相位可灵活调整且分辨率高,能够实现频率及相位的快速切换。  相似文献   

15.
介绍一种用于固态调制器的多路同步触发脉冲信号发生器。在单片机AT89S52和现场可编程门阵列(FPGA)的控制下,触发信号按多脉冲猝发模式高重复频率输出,并且每个子脉冲的脉宽、频率等参数均可单独实时调制。触发信号系统和高压功率系统之间采用光电同步隔离,降低了高压部分对低压部分的干扰。发生器具有操作方便,信号稳定,多路同步输出等特点。得到最多240路同步信号、最多4脉冲猝发的触发脉冲。  相似文献   

16.
介绍了直接数字频率合成(DDS)技术的基本原理,给出了基于Altera公司FPGA器件的一个三相正弦信号发生器的设计方案,同时给出了其软件程序和仿真结果。仿真结果表明:该方法生成的三相正弦信号具有对称性好、波形失真小、频率精度高等优点,且输出频率可调。  相似文献   

17.
直接数字频率合成(DDS)广泛应用于电信与电子仪器领域,是实现设备全数字化的关键技术。基于Altera的现场可编程门阵列(FPGA)核心板DE0-Nano,结合高性能的THS5615A数模转换芯片,完成了DDS的硬件设计与实现。实测结果表明,对于频率范围在0.1 Hz~7.3 MHz的正弦信号,输出信号的频率精确度优于0.5%,移相范围0°~360°,移相误差约为0.5°,且相位以1°任意步进,具有电路简单,输出波形调整灵活以及性价比高等特点。  相似文献   

18.
提出了一种基于现场可编程门阵列(Field Programmable Gate Array,FPGA)的高精度视频图形阵列(Video Graphics Array,VGA)个性化显示函数信号发生器的整体设计方案.通过对传统信号发生器进行改进,再利用先进的直接数字式频率合成器(Direct Digital Synthesizer,DDS)波形发生理论,获得了较理想的信号输出.本设计充分发挥了FPGA大逻辑门容量、超高精准时钟的特点.在软件编程过程中扩展了VGA个性化显示、参数掉电存储等功能.硬件电路则主要采用超高精准度的DAC902U芯片和7阶的椭圆低通滤波器,以求达到最佳的模拟信号输出效果.  相似文献   

19.
给出了一种基于FPGA的TH-UWB窄脉冲信号发生器的实现方法.信号采用脉冲位置调制,调制后的信号利用FPGA片内逻辑门的延时特性,编写延时程序产生携带调制信息的窄脉冲.在Altera DE2开发平台下实现了全数字化的TH-UWB信号发生器.该发生器系统的信号调制、窄脉冲产生都在FPGA芯片内部进行,与传统模拟发生器相比,可以使整个发生器成本显著降低,易于实现,工作稳定,结构简单且便于系统调试和更改.时序仿真和硬件实测数据表明,所得信号能达到TH-UWB纳秒量级窄脉冲的各项要求.  相似文献   

20.
本文提出了一种基于FPGA的图像裁剪电路的设计方法,利用像素的抽取改变图像的分辨率,从而达到图像裁剪的效果。与传统的方法相比,这种方法简单易行,开发成本低,图像的清晰度能满足一定的要求。此方法数据处理速度快,尤其适用于动态图像的处理。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号