首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 93 毫秒
1.
2.
基于FPGA的液晶显示控制器设计   总被引:1,自引:0,他引:1  
液晶显示器由于具有低压、微功耗、显示信息量大、体积小等特点,在移动通信终端、便携计算机、GPS卫星定位系统等领域有广泛用途,成为使用量最大的显示器件[1].  相似文献   

3.
结合ARM操作灵活和FPGA实时处理的优点,提出采用ARM+FPGA结构驱动高分辨率RGB888液晶显示屏。ARM接口丰富、操作灵活可以满足客户操作方便的需求;FPGA模块采用FPGA+DDR形式,数据存取速度达到400 MB/s可以满足画面刷新速度较快的需求;FPGA操作DDR方式采用双端口64 bit模式,设计32 bit数据读取宽度,实现RGB888数据无失真显示。通过ARM处理器LPC1788和Xilinx公司XC6SLX9硬件平台搭建形成产品,在很大程度上满足了工业液晶显示市场的需求。  相似文献   

4.
基于DSP系统的彩色TFT LCD液晶显示设计   总被引:2,自引:0,他引:2  
采用EPSON公司生产的S1D13504芯片作为DSP系统的显示控制芯片,实现DSP系统图像处理的彩色TFTLCD显示。介绍了DSP与S1D13504芯片的硬件及软件设计。  相似文献   

5.
就在各路媒体热火朝天地讨论东莞制造和苏州制造的孰优孰劣,并从中引发出一个有关全球对于中国制造是否会成为下一个危机的话题之际,标志着IT业的集大成,TFT-LCD(薄膜晶体管液晶显示器面板)却在悄无声息之中,开始了“中国造”。  相似文献   

6.
基于嵌入式控制器的液晶显示模块的设计与开发   总被引:2,自引:0,他引:2  
本文主要介绍如何利用基于嵌入式的控制模块RCM2200实现对液晶显示模块(LCM)的开发与设计.包括汉字的显示与图形的显示;并给出RCM2200与显示模块MSP-G320140DBCW-6N之间实用接口电路,以及软件编程。  相似文献   

7.
8.
介绍基于8位嵌入式软核PicoBlaze的SOPC的设计方法,提出一种基于PicoBlaze软核的TFT液晶显示控制方案,并给出了软硬件设计方法.实验结果表明,该方案占用资源少,运行速度快,可以为FPGA驱动TFT液晶提供设计参考.  相似文献   

9.
主要介绍液晶显示模块WM—C1602N、非编码键盘与M—C51系列单片机的接口电路设计,实现键盘扫描、液晶显示模块显示的功能。本文阐述硬件设计原理和软件设计方法,并给出了WM-C1602N与单片机接口的电路原理图和部分程序。  相似文献   

10.
朱勇 《自动化仪表》1997,18(6):26-28
液晶作为一种显示器件,以其特有的优势正广泛应用于测量仪器设备中.它与目前仪器设备中使用的LED(发光二极管)相比有很多优点:耗电省、体积小,因此特别适用于以电池为电源的便携式仪表的输出显示部件.液晶属被动发光,在光线较强的场合(野外)可视性很好,若配上背景光,则可成为全天候显示装置.图形液晶可以显示图形、汉字及字符,使输出生动、直观,可在仪器与用户间建立友好的界面.总之,液晶的诸多优点已被广大科技人员认可,并随着生产厂家的增多,品种已越来越多,价格也越来越便宜,液晶不久将会成为仪器设备的主要显示器件.为了减轻设计人员的工作量,大部分图形液晶产品都带有控制器.这些控制器由大规模(CMOS电路制成,耗电省、功能强,且具有比较统一模式的总线规范,使之很容易与Motorola 68系列、Zilog 280系列和Intel 80系列单片机接口.目前常用的控制器有SAMSUNG公司的KS系列、SELKO EPSON的SED系列、SANYO的LC系列、HITACH的HD系列、INTERSIL的ICL系列以及TOSHIBA的T6963 C等.本文介绍带有内藏控制器SED 1520的液晶显示模块DG-12232-01.  相似文献   

11.
VGA(视频图像阵列)作为一种标准的显示接口得到广泛应用;千兆以太网具有传输速度快、传输距离远、稳定可靠等优点,是当前嵌入式系统的应用热点;FPGA拥有丰富的逻辑和光脚资源,常用于高速数据处理和通信的嵌入式系统。文中结合以上三点,介绍了基于FPGA的千兆以太网终端显示系统的设计。通过对该系统方案进行分析,将设计分为3个模块:数据包接收及解析模块、双口RAM缓存模块和VGA控制器模块。文中详细介绍了这3个模块的设计方法,并在此技术上实现了3个模块协同工作完成整个系统的功能,并给出了系统仿真结果和显示效果。  相似文献   

12.
基于FPGA的VGA显示模块设计   总被引:1,自引:0,他引:1  
VGA(视频图形阵列)作为一种标准的显示接口得到广泛的应用。文中依据VGA显示的原理,在讨论EDA软件工具平台下的FPGA设计流程的前提下,利用VHDL作为逻辑描述的手段,在Altera公司的QuartusII软件环境下完成VGA模块的设计。给出了VGA模块的设计思路和顶层逻辑框图。  相似文献   

13.
张亚平  贺占庄 《微机发展》2007,17(6):242-245
VGA(视频图形阵列)作为一种标准的显示接口得到广泛的应用。文中依据VGA显示的原理,在讨论EDA软件工具平台下的FPGA设计流程的前提下,利用VHDL作为逻辑描述的手段,在Altera公司的QuartusII软件环境下完成VGA模块的设计。给出了VGA模块的设计思路和顶层逻辑框图。  相似文献   

14.
点阵式液晶显示系统的设计   总被引:3,自引:0,他引:3  
本文介绍点阵式液晶显示系统的设计和编程。重点讨论了点阵液晶显示系统各模块之间的接口信号和显示控制器编程中的一些关键问题,如屏、层、区的概念、显示存储器管理以及自定义字符的实现。  相似文献   

15.
介绍了一种基于现场可编程门阵列(FPGA)的LCD控制器的设计方法。以FPGA为硬件,应用VHDL硬件描述语言进行编程,并在Xilinx公司的FPGA芯片XC3S100E上进行了调试,能够较好地实现LCD的文字、字符和图形功能。  相似文献   

16.
针对目前使用的飞思卡尔高性能通信处理器P2020无内置LCD控制器,不方便在某些需要显示界面的中小设备中直接应用的问题,提出了基于CPU+FPGA的显示接口设计方案,给出了硬件原理框图、FPGA逻辑实现原理框图以及设计结果,在项目中成功应用并满足需求。  相似文献   

17.
以FPGA芯片EP1C6Q240C8为数字载体,利用VHDL语言,在Quartus环境中设计图形液晶控制器,实验表明,本设计完全满足对液晶模块的控制要求,并成功应用于数字存储示波表中,该设计还具有不需增加硬件资源、控制灵活可靠等特点;文中重点介绍了液晶控制器中控制模块的设计方法。  相似文献   

18.
通过对液晶显示的基本原理、液晶显示常见模块及其几个应用实例的介绍,使人们清楚地认识到液晶显示的应用相当广泛,本文能帮助人们在实际工程应用中根据需要有选择地应用液晶显示技术。  相似文献   

19.
系统介绍了一种以FPGA可编程逻辑器件为设计平台的、采用大屏幕全彩LED显示屏进行全彩图像显示的扫描控制器实现方案。阐述了系统各模块的工作原理及调试情况,给出了三色LED显示系统的仿真结果。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号