首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Hydrogenated silicon nitride films were deposited with NH3, SiH4 and N2 gas mixture at 700 °C by rapid thermal chemical vapor deposition (RTCVD) system. The NH3/N2 flow ratio and deposition pressure are found to influence the film properties. The stress of SiNx:H films deposited by RTCVD is tensile, which can reach ~ 1.5 GPa in our study. The stress of SiNx:H films is dependent on the deposition parameters, which can be associated with chemical configuration of the film. It is suggested that the presence of hydrogen atoms will relax the Si-N network, which results in the decrease of tensile stress of the SiNx:H film.  相似文献   

2.
Jinsu Yoo 《Thin solid films》2007,515(12):5000-5003
Hydrogenated films of silicon nitride (SiNx:H) were investigated by varying the deposition condition in plasma enhanced chemical vapor deposition (PECVD) reactor and annealing condition in infrared (IR) heated belt furnace to find the optimized condition for the application in multicrystalline silicon solar cells. By varying the gas ratio (ammonia to silane), the silicon nitride films of refractive indices 1.85-2.45 were obtained. Despite the poor deposition rate, silicon wafer with the film deposited at 450 °C showed the best minority carrier lifetime. The film deposited with the gases ratio of 0.57 showed the best peak of carrier lifetime at the annealing temperature of 800 °C. The performance parameters of cells fabricated by varying co-firing peak temperature also showed the best values at 800 °C. The multicrystalline silicon (mc-Si) solar cells fabricated in conventional industrial production line applying the optimized film deposition and annealing conditions on large area substrate (125 mm × 125 mm) was found to have the conversion efficiency of 15%.  相似文献   

3.
Visible electroluminescence (EL) has been obtained from devices with active layers of silicon nanocrystals embedded in chlorinated silicon nitride (Si-nc/SiNx:Cl) thin films, deposited by remote plasma enhanced chemical vapour deposition, using SiCl4/NH3/H2/Ar. The active nc-Si/SiNx:Cl film was sandwiched between Al contacts and a transparent conductive contact of ZnOx:Al deposited by the pyrosol process. White EL centred at around 600 nm was observed, with a turn-on voltage of 5 V, and the intensity increasing as a function of voltage. Recombination between electron-hole pairs generated in the Si-nc by electron impact ionization is proposed as the EL mechanism.  相似文献   

4.
This study is aimed at improving the characteristics of silicon nitride (SiNx) film deposited by catalytic chemical vapor deposition (Cat-CVD) method. Cat-CVD method can deposit SiNx films that have low hydrogen content and high density at low temperature without any plasma damage to substrates. Usually silane (SiH4) and ammonia (NH3) are used for source gases. Then adding hydrogen (H2) gas to source gases makes characteristics of Cat-CVD SiNx improved. When using H2 gas, hydrogen content in SiNx film becomes lower and electronic reliability becomes higher.  相似文献   

5.
One of the most promising solution for crystalline silicon surface passivation in solar cell fabrication consists in a low temperature (< 400 °C) Plasma Enhanced Chemical Vapor Deposition of a double layer composed by intrinsic hydrogenated amorphous silicon (a-Si:H) and hydrogenated amorphous silicon nitride (SiNx). Due to the high amount of hydrogen in the gas mixture during the double layer deposition, the passivation process results particularly useful in case of multi-crystalline silicon substrates in which hydrogenation of grain boundaries is very needed. In turn the presence of hydrogen inside both amorphous layers can induce metastability effects. To evaluate these effects we have investigated the stability of the silicon surface passivation obtained by the double layer under ultraviolet light exposure. In particular we have verified that this double layer is effective to passivate both p- and n-type crystalline silicon surface by measuring minority carrier high lifetime, via photoconductance-decay. To get better inside the passivation mechanisms, strongly connected to the charge laying inside the SiNx layer, we have collected the Infrared spectra of the SiNx/a-Si:H/c-Si structures and we have monitored the capacitance-voltage profiles of Al/SiNx/a-Si:H/c-Si Metal Insulator Semiconductor structures at different stages of UltraViolet (UV) light exposure. Finally we have verified the stability of the double passivation layer applied to the front side of solar cell devices by measuring their photovoltaic parameters during the UV light exposure.  相似文献   

6.
Y. Xin  Y. Shi  Z.X. Huang  R. Zhang 《Thin solid films》2008,516(6):1130-1136
In this paper, hydrogenated amorphous silicon nitride (a-SiNx:H) films have been deposited using an electron cyclotron resonance chemical vapor deposition system. The effect of NH3 flow rate R on the deposition rate, structure and luminescence were studied using various techniques such as optical emission spectroscopy, Fourier Transform Infrared absorption (FTIR), X-ray photoelectron spectroscopy (XPS) and fluoro-spectroscopy, respectively. Optical emission behavior of SiH4 + NH3 plasma shows that atomic Si radical concentration determines the film deposition rate. Structural transition of a-SiNx film from Si-rich one to near-stoichiometric/N-rich one with R was revealed by FTIR and the two phase separation of a-Si and a-Si3N4 was also convinced in Si-rich SiNx films by XPS. Either photo- or electroluminescence for all the SiNx films with R > 3 sccm shows a strong light emission in visible light wavelength range. As R < 6 sccm, recombination of electrons and holes in a-Si quantum dots is the main mechanism of photo/electroluminescence for Si-rich SiNx films, however, for photoluminescence, gap states' luminescence is also in competition; as R > 6 sccm, light emission of the SiNx film originates from defect states in its band gap.  相似文献   

7.
The mechanical properties and fracture behavior of silicon nitride (SiNx) thin film fabricated by plasma-enhanced chemical vapor deposition is reported. Plane-strain moduli, prestresses, and fracture strengths of silicon nitride thin films deposited both on a bare Si substrate and on a thermally oxidized Si substrate were extracted using bulge testing combined with a refined load-deflection model of long rectangular membranes. The plane-strain moduli and prestresses of SiNx thin films have little dependence on the substrates, that is, for the bare Si substrate, they are 133 ± 19 GPa and 178 ± 22 MPa, respectively, while for the thermally oxidized substrate, they are 140 ± 26 GPa and 194 ± 34 MPa, respectively. However, the fracture strength values of SiNx films grown on the two substrates are quite different, i.e., 1.53 ± 0.33 GPa and 3.08 ± 0.79 GPa for the bare Si substrate and the oxidized Si substrate, respectively. The reference stresses were computed by integrating the local stress of the membrane at the fracture over the edge, surface, and volume of the specimens and fitted with the Weibull distribution function. For SiNx thin film produced on the bare Si substrate, the volume integration gave a significantly better agreement between data and model, implying that the volume flaws are the dominant fracture origin. For SiNx thin film grown on the oxidized Si substrate, the fit quality of surface and edge integration was significantly better than the volume integration, and the dominant surface and edge flaws could be caused by buffered HF attacking the SiNx layer during SiO2 removal.  相似文献   

8.
We present recent progress on hot-wire deposited thin film solar cells and applications of silicon nitride. The cell efficiency reached for μc-Si:H n-i-p solar cells on textured Ag/ZnO presently is 8.5%, in line with the state-of-the-art level for μc-Si:H n-i-p's for any method of deposition. Such cells, used in triple junction cells together with hot-wire deposited proto-Si:H and plasma-deposited SiGe:H, have reached 10.5% efficiency. The single junction μc-Si:H n-i-p cell is entirely stable under prolonged light soaking. The triple junction cell, including protocrystalline i-layers, is within 3% stable, due to the limited thicknesses of the two top cells. The application of SiNx:H at a deposition rate of 3 nm/s to polycrystalline Si wafer solar cells has led to cells with 15.7% efficiency. We have also achieved record high deposition rates of 7.3 nm/s for transparent and dense SiNx;H. Hot-wire SiNx:H is likely to be the first large commercial application of the Hot Wire CVD (Cat-CVD) technology.  相似文献   

9.
The application of hot-wire (HW) CVD deposited silicon nitride (SiNx) as passivating anti-reflection coating on multicrystalline silicon (mc-Si) solar cells is investigated. The highest efficiency reached is 15.7% for SiNx layers with an N/Si ratio of 1.20 and a high mass density of 2.9 g/cm3. These cell efficiencies are comparable to the reference cells with optimized plasma enhanced (PE) CVD SiNx even though a very high deposition rate of 3 nm/s is used. Layer characterization showed that the N/Si ratio in the layers determines the structure of the deposited films. And since the volume concentration of Si-atoms in the deposited films is found to be independent of the N/Si ratio the structure of the films is determined by the quantity of incorporated nitrogen. It is found that the process pressure greatly enhances the efficiency of the ammonia decomposition, presumably caused by the higher partial pressure of atomic hydrogen. With this knowledge we increased the deposition rate to a very high 7 nm/s for device quality SiNx films, much faster than commercial deposition techniques offer [S. von Aichberger, Photon Int. 3 (2004) 40].  相似文献   

10.
The preparations of the 20-period of a Si quantum dot (QD)/SiNx multilayer in a hot-wire chemical vapor deposition (HWCVD) chamber is presented in this paper. The changes in the properties of Si-QDs after the post deposition annealing treatment are studied in detail. Alternate a-Si:H and SiNx layers are grown in a single SiNx deposition chamber by cracking SiH4, and SiH4 + NH3, respectively at 250 °C. The as-deposited samples are annealed in the temperature range of 800 °C to 950 °C to grow Si-QDs. All the samples are characterized by confocal micro Raman, transmission electron microscope (TEM), and photoluminescence (PL) to study the changes in the film structures after the annealing treatment. The micro Raman analysis of the samples shows the frequency line shifting from 482 cm− 1 to 500 cm− 1 indicating the Si transition from an amorphous to a crystalline phase. The TEM micrograph inspection indicates the formation of Si-QDs of size 3 to 5 nm and a density of 5 × 1012/cm2. The high resolution TEM micrographs show an agglomeration of Si-QDs with an increase in the annealing temperature. The PL spectra show a peak shifting from 459 nm to 532 nm with increasing the annealing temperature of the film.  相似文献   

11.
Yohei Ogawa 《Thin solid films》2008,516(5):611-614
Silicon oxynitride (SiOxNy) films have been formed by adding proper amount of oxygen gas to usual forming condition of silicon nitride (SiNx) films in catalytic chemical vapor deposition (Cat-CVD) method. The composition and refractive index of the film can be systematically controlled by changing oxygen flow rate. Organic light-emitting diodes (OLEDs) covered with SiNx/SiOxNy stacked films have been completely protected from damage due to oxygen and moisture and their initial emission intensity is maintained over 1000 hours under 60 °C and 90% RH, which is equivalent to 50 000 hours in normal temperature and humidity conditions.  相似文献   

12.
Wanyu Ding  Jun Xu  Xinlu Deng 《Thin solid films》2010,518(8):2077-5323
Hydrogen-free amorphous silicon nitride (SiNx) films were deposited at room temperature by microwave electron cyclotron resonance plasma-enhanced unbalance magnetron sputtering. Varying the N2 flow rate, SiNx films with different properties were obtained. Characterization by Fourier-transform infrared spectrometry revealed the presence of Si-N and Si-O bonds in the films. Growth rates from 1.0 to 4.8 nm/min were determined by surface profiler. Optical emission spectroscopy showed the N element in plasma mainly existed as N+ species and N2+ species with 2 and 20 sccm N2 flow rate, respectively. With these results, the chemical composition and the mechanical properties of SiNx films strongly depended on the state of N element in plasma, which in turn was controlled by N2 flow rate. Finally, the film deposited with 2 sccm N2 flow rate showed no visible marks after immersed in etchant [6.7% Ce(NH4)2(NO3)6 and 93.3% H2O by weight] for 22 h and wear test for 20 min, respectively.  相似文献   

13.
The paper presents investigations of the optical properties of thin high-refractive-index silicon nitride (SiNx) and diamond-like carbon (DLC) films deposited by the radio-frequency plasma-enhanced chemical vapor deposition method for applications in tuning the functional properties of optical devices working in the infrared spectral range, e.g., optical sensors, filters or resonators. The deposition technique offers the ability to control the film's optical properties and thickness on the nanometer scale. We obtained thin, high-refractive-index films of both types at deposition temperatures below 350 °C, which is acceptable under the thermal budget of most optical devices. In the case of SiNx films, it was found that for short deposition processes (up to 5 min long) the refractive index of the film increases in parallel with its thickness (up to 50 nm), while for longer processes the refractive index becomes almost constant. For DLC films, the effect of refractive index increase was observed up to 220 nm in film thickness.  相似文献   

14.
In this report, we present a cost effective simple innovative approach to fabricate double layer anti-reflection (DLAR) coatings using a single material which can provide high qualities of passivation and anti-reflection property. Two layers of SiNx:H films with different refractive indices were deposited onto p-type c-Si wafer using plasma enhanced chemical vapor deposition reactor by controlling the NH3 and SiH4 gas ratio. Refractive indices of top and bottom layers were chosen as 1.9 and 2.3 respectively. The effect of passivation at the interface was investigated by effective carrier lifetime, hydrogen concentration and interface trapped density (Dit) measurements. The optical characteristic was analyzed by reflectance and transmittance measurements. A superior efficiency of 17.61% was obtained for solar cells fabricated with DLAR coating when compared to an efficiency of 17.24% for cells with SLAR coating. Further, Jsc and Voc of solar cell with DLAR coating is increased by a value of ~ 1 mA/cm2 and 4 mV respectively than cell with SLAR coating.  相似文献   

15.
Youn-Jin Lee 《Thin solid films》2010,518(22):6311-6314
Thin film transistors having nanocrystalline silicon as an active layer were fabricated by catalytic-CVD at a low process temperature (≤ 200 °C). The tri-layer of the bottom-gate TFT was deposited continuously inside the Cat-CVD reactor. In order to improve the quality of the gate dielectric layer an in-situ hydrogen annealing step was introduced in between the silicon nitride and the nanocrystalline silicon deposition steps. The in-situ hydrogen annealing was effective in reducing the hysteresis in the C-V characteristics and in enhancing the breakdown voltage by decreasing the defects inside the SiNx film.  相似文献   

16.
The apparent fracture toughness for a series of plasma enhanced chemical vapor deposition SiNx:H films with intrinsic film stress ranging from 300 MPa tensile to 1 GPa compressive was measured using nanoindentation. The nanoindentation results show the measured fracture toughness for these films can vary from as high as > 8 MPa⋅√m for films in compression to as low as < 0.5 MPa⋅√m for the films in tension. Other film properties such as density, Young's modulus, and hydrogen content were also measured and not observed to correlate as strongly with the measured fracture toughness values. Various theoretical corrections proposed to account for the presence of intrinsic or residual stresses in nanoindent fracture toughness measurements were evaluated and found to severely underestimate the impact of intrinsic stresses at thicknesses ≤ 3 μm. However, regression analysis indicated a simple linear correlation between the apparent fracture toughness and intrinsic film stress. Based on this linear trend, a stress free/intrinsic fracture toughness of 1.8 ± 0.7 MPa⋅√m was determined for the SiNx:H films.  相似文献   

17.
Silicon nitride (SiNx) is a material with many applications and can be deposited with various deposition techniques. Series of SiNx films were deposited with HWCVD, RF PECVD, MW PECVD and LF PECVD. The atomic densities are quantified using RBS and ERD. The influence of the atomic densities on the Si-N and Si-Si bond structure is studied. The density of N-N bonds is found to be negligible. New Si-N FTIR proportionality factors are determined which increase with increasing N/Si ratio from 1.2 · 1019 cm− 1 for Si rich films (N/Si = 0.2) to 2.4 · 1019 cm− 1 for N rich films (N/Si = 1.5). The peak position of the Si-H stretching mode in the FTIR spectrum is discussed using the chemical induction model. It is shown that especially for Si-rich films the hydrogen content affects the Si-H peak position. The influence of the composition on the refractive index of the films is discussed on the basis of the Lorentz-Lorenz equation and the Kramers-Kronig relation. The decreasing refractive index with increasing N/Si ratio is primarily caused by an increase of the band gap.  相似文献   

18.
We investigated amorphous silicon carbide (a-SiC:H) thin films deposited by plasma-enhanced chemical vapor deposition (PECVD) as protective coatings for harsh environment applications. The influence of the deposition parameters on the film properties was studied. Stoichiometric films with a low tensile stress after annealing (< 50 MPa) were obtained with optimized parameters. The stability of a protective coating consisting of a PECVD amorphous silicon oxide layer (a-SiOx) and of an a-SiC:H layer was investigated through various aging experiments including annealing at high temperatures, autoclave testing and temperature cycling in air/water vapor environment. A platinum-based high-temperature metallization scheme deposited on oxidized Si substrates was used as a test vehicle. The a-SiOx/a-SiC:H stack showed the best performance when compared to standard passivation materials as amorphous silicon oxide or silicon nitride coatings.  相似文献   

19.
Nanostructured materials fabricated by dispersing metal particles on the dielectric surface have potential application in the field of nanotechnology. Interfacial metal particles/dielectric matrix interaction is important in manipulating the structural and optical properties of metal/dielectric films. In this work, a thin layer of gold (Au) was sputtered onto the surface of silicon oxide, SiOx (0.38 < x < 0.68) films which was deposited at different N2O/SiH4 flow rate ratios of 5 to 40 using plasma enhanced chemical vapor deposition (PECVD) technique prior to the annealing process at 800 °C. FTIR spectra demonstrate the intensity and full-width at half-maximum (FWHM) of Si-O-Si stretching peaks are significantly dependent on the N2O/SiH4 flow-rate ratio, η. The films deposited at low and high N2O/SiH4 flow rate ratios are dominated by the oxygen and silicon contents respectively. The size and concentration of Au particles distributed on the surface of SiOx films are dependent on the N2O/SiH4 flow-rate ratio. High concentrations of Au nanoparticles are distributed evenly on the surface of the film deposited at N2O/SiH4 flow-rate ratio of 30. Crystallinity and crystallite sizes of Au are enhanced after the thermal annealing process. Appearance of surface plasma resonance (SPR) absorption peaks at 524 nm for all samples are observed as a result of the formation of Au particles. The annealing process has improved SPR peaks for all the as-deposited films. The energy gap of the as-deposited Au/SiOx films are in the range of 3.58 to 4.38 eV. This energy gap increases after the thermal annealing process except for the film deposited at η = 5.  相似文献   

20.
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号