首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 203 毫秒
1.
本文在研究MIL-STD-1553B总线远程终端协议逻辑的基础上,为实现10种消息类型的全部识别处理,采用消息识别的设计方法将总线通信的消息归纳为接收消息、发送消息和地址无效消息3类,并针对每类消息按照细分的消息类型分别处理,基于FPGA技术和有限状态机技术设计实现具有参数可配置的远程终端协议模块.软硬件仿真测试结果表明,本文设计的远程终端协议模块满足MIL-STD-1553B总线远程终端协议功能需求,具有工程可实现性并能与某1553B仿真卡建立总线通信,为设计开发其专用协议产品提供一定技术参考.  相似文献   

2.
本文在研究MIL-STD-1553B总线远程终端协议逻辑的基础上,为实现10种消息类型的全部识别处理,采用消息识别的设计方法将总线通信的消息归纳为接收消息、发送消息和地址无效消息3类,并针对每类消息按照细分的消息类型分别处理,基于FPGA技术和有限状态机技术设计实现具有参数可配置的远程终端协议模块.软硬件仿真测试结果表明,本文设计的远程终端协议模块满足MIL-STD-1553B总线远程终端协议功能需求,具有工程可实现性并能与某1553B仿真卡建立总线通信,为设计开发其专用协议产品提供一定技术参考.  相似文献   

3.
介绍一种基于Delphi平台的PCI-1553B总线卡接口通信应用技术,主要包括Delphi下1553B总线周期消息、非周期消息定义、消息传输故障重试、消息数据的中断采集、消息数据的动态更新以及总线消息的传输监控记录等编程技术。并基于1553B总线卡和Delphi平台设计了一套电控模拟器通信系统,验证了1553B总线的BC-RT通信和RT-BC通信以及BM总线监控功能。实践表明,利用Delphi开发1553B总线通信应用技术以及Delphi对数据库技术的完美支持,与其他开发语言相比,该技术可快速建立完善的1553B总线通信测试系统。  相似文献   

4.
1553B通信研究及其在导弹测试系统中的应用   总被引:2,自引:2,他引:0  
为了研究1553B总线通信协议在某型号导弹测试系统中的使用方法,在分析1553B总线协议的基础上,阐述了1553B总线通信的优点及组成,给出了1553B总线通信在某型导弹测试设备中的应用方法,并详细介绍了硬件设计、软件设计和应用中的关键点;研究的总线控制器的设计方法在该测试设备中运行可靠,能够很好地满足测试需要;该设计方法还具有一定的通用性,可以在今后的设备研制及1553总线的研究和使用中得以继承。  相似文献   

5.
高速1553B总线控制器自动测试系统的开发是基于通用测量仪器搭建的测试平台,应用高速1553B总线协议分析软件实现总线信号电气特性参数的自动测试和协议功能的检查。自动测试平台中数字存储示波器对总线信号进行采集、处理和测量,任意波形发生器模拟仿真高速1553B总线编码信号和总线错误注入信号,基于Matlab开发自动测试程序,完成对高速1553B总线协议的解码分析。使用国产4Mbps1553B总线控制器芯片对自动测试系统进行验证,对总线信号的幅值和畸变电压等参数进行测试,并对总线协议的正确性进行检查。验证结果表明,测试平台能够实现4M1553B总线控制器电气参数和协议正确性的可靠测试。该测试系统开发难度低,可以满足高速1553B总线控制器在设计和调试阶段的自动测试需求。  相似文献   

6.
潘亮  司斌  张从霞  张友森 《测控技术》2018,37(9):121-125
在1553B总线应用中,总线消息的识别和过滤是总线监视器(BM)的扩展功能,在很多工程应用中具有非常重要的意义,尤其在高负荷实时通信中,总线上数据量庞大,给系统设计带来很大困难。在研究1553B总线协议的基础上,提取了消息分类的特征信息,设计了基于FPGA的具有信息识别和过滤功能的BM。该BM根据1553B总线消息特征进行消息识别,从而将总线上传输的信息处理成有意义的数据块;在此基础上,按照一定的策略进行消息过滤,仅保留过滤后的信息,从而降低总线负荷。采用VHDL语言、有限状态机技术和模块化设计,进行BM的开发,并通过仿真验证和硬件综合,测试结果表明本文设计的BM达到了设计目的。  相似文献   

7.
于雅丽  张红军 《福建电脑》2011,27(8):147-148
本文在分析1553B总线协议的基础上,对1553B总线切换技术进行了研究,给出了1553B总线通信及总线切换技术在某型导弹测试系统中的应用方法,并详细介绍了系统的设计方法及应用过程。该测试系统中运行可靠,能够很好的满足测试需要。该设计方法具有一定的通用性,可以在今后的设备研制中得以继承。  相似文献   

8.
为满足航空电子设备中机内测试(BIT,Built- in- test)的试验验证需求,设计并实现了基于VxWorks操作系统的1553B总线故障注入设备;总线故障注入设备是1553B总线(MIL_STD_1553B总线的简称)故障注入系统的核心,是实现故障注入任务的关键;结合1553B总线协议和通信特点,基于一般总线故障注入方法,详细分析了适用于该总线的故障注入方法;阐述了总线故障注入系统的结构框架和工作原理,并给出了1553B总线故障注入设备的详细设计方案,包括具体的硬件实现、软件设计和系统的工作流程,最后通过搭建仿真测试环境进行试验,系统对总线上传输的数据进行了有效地故障注入,验证了该故障注入设备功能的正确性和可靠性.  相似文献   

9.
MIL-STD-1553B总线具有可靠性高、通信质量稳定等特点,因此在航天器电子系统中得到了非常广泛的应用;由于一套1553B总线网络中仅支持1个总线控制端和和最多31个远程终端结点,而随着应用的不断发展,航天器系统中需要接入1553B总线网络的设备大量增加,单套1553B总线已不能满足要求,需要将多套1553B总线级联以满足系统组网的要求,此外在系统对接,设备扩展等情况下也需要实现多套总线的实时连接;介绍了一种1553B总线数据交换设备的设计方案,实现两套1553B总线的连接和并网管理,总线之间数据交换能力达到32kbps。  相似文献   

10.
段曙凯  魏士皓  范玲 《测控技术》2022,41(10):89-93
针对民机测试中测试设备的通信协议繁杂、难以进行统一管控的问题,提出了一种基于可扩展标记语言(XML)的通用接口控制文档(ICD)设计方法。利用ICD的通用性特点将其设计成工程层级、设备层级和消息层级3个层级,分别描述各类总线协议的工程测试中的设备通信活动、设备通信过程和通信消息帧。通过加载通用ICD,可实现不同总线协议的被测数据与测试设备进行集中交互,并在某型的民用飞机中的总装功能试验中进行了实践应用。实践结果表明,该方法能实现多协议测试设备的通信,有效解决了测试设备通信协议种类繁多、统一管理难的问题,促进了民机集成测试技术的发展。  相似文献   

11.
针对传统的人工手动方式对晶体输出频率随温度的变化进行测试和分析,存在着跟踪性能差、数据处理效率低、人为误差大和测试结果无法保存等诸多问题,设计开发了基于GPIB总线和485总线的自动测试系统,系统利用Mscomm控件和agt3494控件很方便地实现了PC对仪器设备的通信、参数设置以及数据读写,测试软件采用面向对象、消息机制的程序设计方法,增强了可读性、可移植性和故障处理能力,数据处理部分重点介绍了调用Excel数据分析功能函数的方法,简化了处理过程,最后试验表明,采用自动测试系统,效率比手工测试提高10倍以上,并且同时完成了数据分析及曲线、方程、报表的生成,大大提高了准确性,由此可知系统实用性强、实用价值高。  相似文献   

12.
为满足航天飞行器地面仿真设备使用的需求,设计了一种基于可编程逻辑门阵列(FPGA)的1553B总线通信终端知识产权(IP)核。在保证总线系统可靠性的前提下,采用自顶向下的设计方法与“双进程”编码方式,利用超高速硬件描述语言(VHDL)生成目标代码,使用ModelSim软件进行仿真,最后在实际设备中验证并应用。该IP核可配置在总线控制器、远程终端或总线监控器3种不同的工作模式下运行,易于集成入片上系统(SoC),对进一步应用1553B总线提供了更多的选择。  相似文献   

13.
针对矿用新能源电机车CAN节点发送错误造成关键信息丢失的隐患,在TTCAN协议的基础上提出了CAN总线发送容错方法,即对CAN节点发送失败的报文重新发送,当发送成功或者达到预设的最大重发次数后退出该报文的发送;给出了一种CAN总线高效接收、处理报文方法,在中断接收报文期间对报文进行缓存操作,同时修改接收到的报文数量,主程序以此为依据逐条对存放在缓冲区的报文进行后续处理,实现了报文接收与处理的分时操作。测试结果表明,该优化方法可有效提高CAN总线通信的实时性和可靠性,同时减小了各CAN节点CPU开销,提高了工作效率。  相似文献   

14.
1553B总线拓扑及通信协议设计   总被引:2,自引:0,他引:2       下载免费PDF全文
邵金剑  邵宗良 《计算机工程》2011,37(10):269-271
分析1553B总线组网拓扑,设计一种结合并行总线拓扑和层次总线拓扑的混合总线拓扑结构,在此基础上给出一个通信协议栈。针对传输层异步通信,设计基于传输矢量字方式代码的控制流程、报文传输流程、矢量字格式以及报文格式。在网络层上设计数据分组格式,从而实现基于LabVIEW的协议测试软件。  相似文献   

15.
通过分析航空、通信、航天等领域综合电子项目中常规总线数据报文,以及动态可扩展数据报文,归纳出数据报文结构特点,研究了适应动态可扩展数据报文的描述模型,并给出基于XML语言对动态可扩展数据报文模型的实现方法。通过该方法解决了动态可扩展数据报文难以统一描述问题,可实现软件代码与接口定义的解耦;解决了因接口变更导致修改软件代码的问题,可提高软件代码的通用性和适应性。该方法设计的总线接口测试软件已在多个型号工程的中应用,可达到在不修改软件代码的情况下,配置多种类型的常规数据报文或动态可扩展数据报文进行数据总线通信。  相似文献   

16.
由于互连网技术发展和应用的需要,针对工业自动化领域内以总线为主要通信接口的终端设备与远程信息处理和监控为目的的以太网接口设备相连接的问题,开发嵌入式网关通用接口以实现不同通信接口与以太网接口的协议转换,为实现各种终端设备通过互联网与计算机终端或服务器的有机连接提供了基础。通过嵌入式通用网关接口为各种通信设备的数据共享提供了前提,为计算机或服务器的后台软件提供了二次开发的平台。实现了工业自动化和企业信息化的有机结合。  相似文献   

17.
针对军用指挥自动化软件缺乏顶层设计、各种软件系统相互独立、综合集成难度大、不利于软件管理和维护等问题,提出一种以消息总线为核心的C^3I系统软件体系结构,并给出了消息总线和基于消息总线的应用软件设计方法,可以满足多兵种合成的C^3I系统软件的综合集成。该体系结构和实现方法已经在军队重点型号中得到应用。  相似文献   

18.
针对PLC与变频器之间通讯时电机速度精细调节难的问题,应用新一代高速工业以太网PROFINET通信技术,将S7-1200PLC、G120变频器和触摸屏构成一个小型局域网.采用CSM1277作为小型交换机,三者之间采用以太网通信,建立通过PROFINET总线实现西门子S7-1200PLC与G120变频器通讯的方法.在分析...  相似文献   

19.
杨帆  徐皓吉  马荩 《测控技术》2015,34(12):116-119
电子负载ATE为电源系统的各项试验评估提供数据依据,是电源测试系统的重要组成部分。设计了基于LabVIEW软件开发平台的ATE监控软件,实现了信号监控、总线通信、信息查询、数据记录及回放、在线编程等功能。详细介绍了ATE软件关键性模块的设计与实现。经过实际测试与应用,证明了该软件的实用性和可靠性。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号