首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
P-MOSFETs with 14 Å equivalent oxide thickness (EOT) were fabricated using both JVD Si3N4 and RTCVD Si3 N4/SiOxNy gate dielectric technologies. With gate length down to 80 nm, the two technologies produced very similar device performances, such as drive current and gate tunneling current. The low gate leakage current, good device characteristics and compatibility with conventional CMOS processing technology make both nitride gate dielectrics attractive candidates for post-SiO2 scaling. The fact that two significantly different technologies produced identical results suggests that the process window should be quite large  相似文献   

2.
The nonvolatile memory properties of the partially crystallized HfO2 charge storage layer are investigated using short-channel devices of gate length Lg down to 80 nm. Highly efficient two-bit and four-level device operation is demonstrated by channel hot electron injection programming and hot hole injection erasing for devices of Lg > 170 nm, although the reduction of the memory window is observed for devices of Lg < 170 nm. A memory window of 5.5 V, ten-year retention of Vth clearance larger than 1.5 V between adjacent levels, endurance for 105 programming/erasing cycles, and immunity to programming disturbances are demonstrated. Flash memory with partially crystallized HfO2 shows a larger memory window than HfO2 nanodot memory, assisted by the enhanced electron capture efficiency of an amorphous HfO2 matrix, which is lacking in other types of reported nanodot memory. The scalability, programming speed, Vth control for two-bit and four-level operation, endurance, and retention are also improved, compared with NROM devices that use a Si3N4 trapping layer.  相似文献   

3.
Plasma-charging damage on gate dielectrics of MOS devices is an important issue because of shrinking dimension, plasma nonuniformity, and effects on high-k gate dielectrics. A comprehensive study of plasma-charging effects on the electrical properties of MOS devices was investigated in this work. Shunt diodes were used to estimate the charging polarity distribution. For high-frequency application, the 1/f noise was found to be a promising index for assessing plasma-charging damage. Gate oxynitride formed by two-step nitridation was demonstrated to have better electrical reliability as compared to the conventional one-step nitridation, especially accompanied by amorphous silicon gate electrode. This improvement could be attributed to the relaxation of interface stress by amorphous silicon gate electrode and the suppression of hydrogen effects by gate oxynitride using two-step nitridation. Plasma-charging damage on Si3N4 and Ta2O5 gate dielectrics with high dielectric constant was also investigated. For MOS devices with Si3N4 film, the leakier characteristic and shorter time to breakdown reveal its inferior reliability. For MOS devices with Ta2O5 gate dielectric, the trap-assisted current mechanism makes a thicker physical thickness of Ta2O5 film more susceptible to plasma-charging-induced damage. Smaller physical thickness of Ta2O5 film in MOS devices is favorable due to the better reliability and comparable plasma-induced electrical degradation  相似文献   

4.
The properties of enhancement-mode InP metal-insulator-semiconductor field-effect transistors fabricated on semi-insulating InP substrates are reported. The epitaxial layers of the device structure have been grown by chloride vapor-phase epitaxy. Short-circuit current gain cutoff frequencies of 29.6 GHz were measured for 1-μm-gate-length devices. For devices with submicrometer gate lengths, extrinsic transconductance values up to 300 mS/mm and short-circuit current-gain cutoff frequencies of 38.1 GHz were measured. SiO2 deposited by electron beam evaporation and plasma-enhanced CVD Si3N4 have been utilized as gate insulators, and a drain current drift of 30% within the first 50 h of operation has been observed. The high-speed performance of these devices represent to the authors' knowledge the fastest InP-based MIS field-effect transistor demonstrated  相似文献   

5.
Metal-nitride-semiconductor FETs (MNSFETs) having channel lengths down to 100 mm and a novel jet vapor deposited (JVD) Si3N4 gate dielectric have been fabricated and characterized. When compared with MOSFETs having a thermal SiO2 gate insulator, the MNSFETs show a comparable drain current drive, transconductance, subthreshold slope and pre-stress interface quality. A novel charge pumping technique is employed to characterize the hot-carrier induced interface-trap generation in MNSFETs and MOSFETs. Under identical substrate current during stress, MNSFETs show less interface-state generation and drain current degradation, for various channel lengths, stress times and supply voltages, despite the fact that the Si-Si3N4 barrier (2.1 eV) is lower than the Si-SiO2 barrier (3.1 eV). The time and voltage dependence of hot-carrier degradation has been found to be distinctly different for MNSFETs compared to SiO2 MOSFETs  相似文献   

6.
In this letter, we focus on the border-trap characterization of TaN/HfO2/Si and TaN/HfO2/strained-Si/Si0.8Ge0.2 n-channel MOSFET devices. The equivalent oxide thickness for the gate dielectrics is 2 nm. Drain-current hysteresis method is used to characterize the border traps, and it is found that border traps are higher in the case of high-kappa films on strained- Si/Si0.8Ge0.2 .These results are also verified by the 1/f-noise measurements. Possible reasons for the degraded interface quality of high-kappa films on strained-Si are also proposed.  相似文献   

7.
Metal insulator semiconductor field effect transistors (MISFETs) and MIS capacitors are fabricated using Al metal-gate and PECVD silicon nitride (Si3N4) gate-insulator on commercial GaAs epitaxial wafers after treating the channel regions with (NH4)2Sx. It is shown that the post metallization annealing (PMA) of these devices improves the transconductance and reduces the interface state density (Dit) considerably. This is attributed to the additional passivation effect of hydrogen diffusing to the interface from the Si 3N4 during the PMA. An intrinsic transconductance of 30.7 mS/mm which is 75% of the theoretical maximum limit of 40.5 mS/mm has been achieved using silicon nitride gate insulator thickness of 1100 Å. Stability of the drain currents in these devices is demonstrated to be excellent  相似文献   

8.
We present results on fabrication and dc characterization of vertical InAs nanowire wrap-gate field-effect transistor arrays with a gate length of 50 nm. The wrap gate is defined by evaporation of 50-nm Cr onto a 10-nm-thick HfO2 gate dielectric, where the gate is also separated from the source contact with a 100-nm SiOx, spacer layer. For a drain voltage of 0.5 V, we observe a normalized transconductance of 0.5 S/mm, a subthreshold slope around 90 mV/dec, and a threshold voltage just above 0 V. The highest observed normalized on current is 0.2 A/mm, with an off current of 0.2 mA/mm. These devices show a considerable improvement compared to previously reported vertical InAs devices with SiNx, gate dielectrics.  相似文献   

9.
A novel device structure with a high-k HfO2 charge storage layer and dual tunneling layer (DTL) (SiO2/Si3N4) is presented in this paper. Combining advantages of the high trapping efficiency of high-k materials and enhanced charge injection from the substrate through the DTL, the device achieves a fast program/erase speed and a large memory window. The device demonstrates excellent retention due to its physically thick DTL and also improved endurance without any increase of programming Vth throughout the cyclic test as compared with SONOS Flash memory devices using an Si3N4 trapping layer.  相似文献   

10.
Jeong  S.-W. Roh  Y. 《Electronics letters》2008,44(13):809-810
DC and RF characteristics of Si/SiO2(~4 mum)/Ti/Pt-HfO2-Al metal-insulator-metal (MIM) devices were investigated with atomic layer-deposited (ALD) high-k HfO2 films. Excellent DC and RF properties were obtained compared to those using either SiO2 or Si3N4. Both high capacitance density and small frequency-dependent capacitance reduction were observed in the MIM capacitors, in which ALD HfO2 was used as an insulator.  相似文献   

11.
Metal-insulator-metal (MIM) capacitors with a 56 nm thick HfO2 high-κ dielectric film have been fabricated and demonstrated for the first of time with a low thermal budget (~200°C). Voltage linearity, temperature coefficients of capacitance, and electrical properties are all characterized. The results show that the HfO2 MIM capacitor can provide a higher capacitance density than Si3N4 MIM capacitor while still maintaining comparable voltage and temperature coefficients of capacitance. In addition, a low leakage current of 2×10-9 A/cm2 at 3 V is achieved. All of these make the HfO 2 MIM capacitor to be very suitable for use in silicon RF and mixed signal IC applications  相似文献   

12.
The effects of postdeposition anneal of chemical vapor deposited silicon nitride are studied. The Si3N4 films were in situ annealed in either H2(2%)/O2 at 950°C or N2O at 950°C in a rapid thermal oxidation system. It is found that an interfacial oxide was grown at the Si3N4/Si interface by both postdeposition anneal conditions. This was confirmed by thickness measurement and X-ray photoelectronic spectroscopy (XPS) analysis. The devices with H2 (2%)/O2 anneal exhibit a lower gate leakage current and improved reliability compared to that of N2O anneal. This improvement is attributed to a greater efficiency of generating atomic oxygen in the presence of a small amount of hydrogen, leading to the elimination of structural defects in the as-deposited Si3N 4 film by the atomic oxygen. Good drivability is also demonstrated on a 0.12 μm n-MOSFET device  相似文献   

13.
The dependence of metal and polysilicon gate work-functions on the underlying gate dielectric in advanced MOS gate stacks is explored. We observe that the metal workfunctions on high-κ dielectrics differ appreciably from their values on SiO2 or in a vacuum. We also show the first application of the interface dipole theory on the metal-dielectric interface and obtained excellent agreement with experimental data. Important parameters such as the slope parameters for SiO2, Si3N4, ZrO2, and HfO 2 are extracted. In addition, we also explain the weaker dependence of n+ and p+ polysilicon gate workfunctions on the gate dielectric. Challenges for gate workfunction engineering are highlighted. This work provides additional guidelines on the choice of gate materials for future CMOS technology incorporating high-κ gate dielectrics  相似文献   

14.
Surface passivation of undoped AlGaN/CaN HEMT's reduces or eliminates the surface effects responsible for limiting both the RF current and breakdown voltages of the devices. Power measurements on a 2×125×0.5 μm AlGaN/GaN sapphire based HEMT demonstrate an increase in 4 GHz saturated output power from 1.0 W/mm [36% peak power-added efficiency (PAE)] to 2.0 W/mm (46% peak PAE) with 15 V applied to the drain in each case. Breakdown measurement data show a 25% average increase in breakdown voltage for 0.5 μm gate length HEMT's on the same wafer. Finally, 4 GHz power sweep data for a 2×75×0.4 μm AlGaN/GaN HEMT on sapphire processed using the Si3N4 passivation layer produced 4.0 W/mm saturated output power at 41% PAE (25 V drain bias). This result represents the highest reported microwave power density for undoped sapphire substrated AlGaN/GaN HEMT's  相似文献   

15.
In this paper, we describe a systematic study of the electrical properties of low-temperature-compatible p-channel polycrystalline-silicon thin-film transistors (poly-Si TFTs) using HfO2 and HfSiOx, high-k gate dielectrics. Because of their larger gate capacitance density, the TFTs containing the high-k gate dielectrics exhibited superior device performance in terms of higher Ion/Ioff current ratios, lower subthreshold swings (SSs), and lower threshold voltages (Vth), relative to conventional deposited-SiO2, albeit with slightly higher OFF-state currents. The TFTs incorporating HfSiOx, as the gate dielectric had ca. 1.73 times the mobility (muFE) relative to that of the deposited-SiO2 TFTs; in contrast, the HfO2 TFTs exhibited inferior mobility. We investigated the mechanism for the mobility degradation in these HfO2 TFTs. The immunity of the HfSiOx, TFTs was better than that of the HfO2 TFTs-in terms of their Vth shift, SS degradation, muFE degradation, and drive current deterioration-against negative bias temperature instability stressing. Thus, we believe that HfSiOx, rather than HfO2, is a potential candidate for use as a gate-dielectric material in future high-performance poly-Si TFTs.  相似文献   

16.
An effective model to evaluate the leakage currents for different stacked gates deep submicron MOS transistors is presented. For a given equivalent oxide thickness of a stacked gate, the gate leakage current decreases with an increase of high-k dielectric thickness or a decrease of interlayer thickness. Turning points at high gate biases of the IV curves are observed for Si3N4/SiO2, Ta2O5/SiO2, Ta2O5/SiO2−yNy, Ta2O5/Si3N4, and TiO2/SiO2 stacked gates except for Al2O3/SiO2 structure. Design optimization for the stacked gate architecture to obtain the minimum gate leakage current is evaluated.  相似文献   

17.
This letter reports that passivation effects of the H2-plasma on the polysilicon thin-film transistors (TFT's) were greatly enhanced if the TFT's have a thin Si3N4 film on their gate-dielectrics. Compared to the conventional devices with only the SiO2 gate dielectric, the TFT's with Si 3N4 have much more improvement on their subthreshold swing and field-effect mobility after H2-plasma treatment  相似文献   

18.
Ultrathin (~1.9 nm) nitride/oxide (N/O) dual layer gate dielectrics have been prepared by the remote plasma enhanced chemical vapor deposition (RPECVD) of Si3N4 onto oxides. Compared to PMOSFET's with heavily doped p+-poly-Si gates and oxide dielectrics, devices incorporating the RPECVD stacked nitrides display reduced tunneling current, effectively no boron penetration and improved interface characteristics. By preventing boron penetration into the bulk oxide and channel region, gate dielectric reliability and short channel effects are significantly improved. The hole mobility in devices with N/O dielectrics with equivalent oxide thickness between 1.8 nm and 3.0 nm is not significantly degraded. Because nitrogen is transported to the substrate/dielectric interface during post-deposition annealing, degradation of mobility during hot carrier stressing is significantly reduced for N/O devices. Compared with oxide, the tunneling current for N/O films with ~1.9 nm equivalent oxide thickness is lower by about an order of magnitude due to the larger physical thickness. Suppression of boron transport in nitride layers is explained by a percolation model in which boron transport is blocked in sufficiently thick nitrides, and is proportional to the oxide fraction in oxynitride alloys  相似文献   

19.
The authors report on the off-state gate current (Ig ) characteristics of n-channel MOSFETs using thin nitrided oxide (NO) gate dielectrics prepared by rapid thermal nitridation at 1150°C for 10-300 s. New phenomena observed in NO devices are a significant Ig at drain voltages as low as 4 V and an Ig injection efficiency reaching 0.8, as compared to 8.5 V and 10-7 in SiO2 devices with gate dielectrics of the same thickness. Based on the drain bias and temperature dependence, it is proposed that Ig in MOSFETs with heavily nitrided oxide gate dielectrics arises from hot-hole injection, and the enhancement of gate current injection is due to the lowering of valence-band barrier height for hole emission at the NO/Si interface. The enhanced gate current injection may cause accelerated device degradation in MOSFETs. However, it also presents potential for device applications such as EPROM erasure  相似文献   

20.
We have developed a novel AlGaN/GaN metal-oxide-semiconductor high-electron mobility transistor using a stack gate HfO2/Al2O3 structure grown by atomic layer deposition. The stack gate consists of a thin HfO2 (30-A) gate dielectric and a thin Al2O3 (20- A) interfacial passivation layer (IPL). For the 50-A stack gate, no measurable C-V hysteresis and a smaller threshold voltage shift were observed, indicating that a high-quality interface can be achieved using a Al2O3 IPL on an AlGaN substrate. Good surface passivation effects of the Al2O3 IPL have also been confirmed by pulsed gate measurements. Devices with 1- mum gate lengths exhibit a cutoff frequency (fT) of 12 GHz and a maximum frequency of oscillation (f MAX) of 34 GHz, as well as a maximum drain current of 800 mA/mm and a peak transconductance of 150 mS/mm, whereas the gate leakage current is at least six orders of magnitude lower than that of the reference high-electron mobility transistors at a positive gate bias.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号