首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
We have fabricated thin catalytic metal–insulator–silicon carbide based structure with palladium (Pd) gates using TiO2 as the dielectric. The temperature stability of the capacitor is of critical importance for use in the fabrication of electronics for deployment in extreme environments. We have evaluated the response to temperatures in excess of 450 °C in air and observed that the characteristics are stable. Results of high temperature characterization are presented here with extraction of interface state density up to 650 °C. The results show that at temperatures below 400 °C the capacitors are stable, with a density of interface traps of approximately 6×1011 cm2 eV−1. Above this temperature the CV and GV characteristics show the influence of a second set of traps, with a density around 1×1013 cm2 eV−1, which is close to that observed for slow states near the conduction band edge. The study of breakdown field as a function of temperature shows two distinct regions, below 300 °C where the breakdown voltage has a strong temperature dependence and above 300, where it is weaker. We hypothesize that the oxide layer dominates the breakdown voltage at low temperature and the TiO2 layer above 300 °C. These results at high temperatures confirms the suitability of the Pd/TiO2/SiO2/SiC capacitor structure for stable operation in high temperature environments.  相似文献   

2.
We fabricated high performance gate-last TaN/La2O3/SiO2 on Ge n-MOSFET. Small equivalent-oxide-thickness (EOT) of 1.9-nm and high-field mobility of 258 cm2/V s at 0.75 MV/cm were obtained, which were attributed to the thin SiO2-like barrier layer and low process temperature to prevent interfacial reaction during post-deposition annealing (PDA).  相似文献   

3.
We have investigated properties of insulating lanthanum oxide (La2O3) films in connection with the replacement of silicon oxide (SiO2) gate dielectrics in new generation of CMOS devices. The La2O3 layers were grown using metal organic chemical vapour deposition (MOCVD) at 500 °C. X-ray diffraction analysis revealed polycrystalline character of the films grown above 500 °C. The X-ray photoemission spectroscopy detected lanthanum carbonate as a principal impurity in the films and lanthanum silicate at the interface with silicon. Density of oxide charge, interface trap density, leakage currents and dielectric constant ( κ) were extracted from the C-V and I-V measurements. Electrical properties, in particular dielectric constant of the MOCVD grown La2O3 are discussed with regard to the film preparation conditions. The as grown film had κ11. Electrical measurements indicate possible presence of oxygen vacancies in oxide layer. The O2-annealed La2O3 film had κ17.  相似文献   

4.
Electrical properties of hafnium oxide (HfO2) gate dielectric with various metal nitride gate electrodes, i.e., tantalum nitride (TaN), molybdenum nitride (MoN), and tungsten nitride (WN), were studied over a range of HfO2 thicknesses, e.g., 2.5-10 nm, and post-metal annealing (PMA) temperatures, e.g., 600 °C to 800 °C. The work function of the nitride gate electrode was dependent on the material and the post-metal annealing (PMA) temperature. The scanning transmission electron microscopy technique is used to observe the effect of PMA on the interfacial gate dielectric thickness. After high-temperature annealing, the metal nitride gates were suitable for NMOS. At the same PMA temperature, the oxide-trapped charges increased and the interface state densities decreased with the increase of the HfO2 thickness for TaN and WN gate electrodes. However, for MoN gate electrode the interface state density is almost independent of film thickness. Therefore, dielectric properties of the HfO2 high-k film depend not only on the metal nitride gate electrode material but also the post-metal annealing condition as well as the film thickness. During constant voltage stress of the MOS capacitors, an increase in the time-dependent gate leakage current is also observed.  相似文献   

5.
In this work, the thermal annealing effect on the metal gate effective work function (EWF) modulation for the Al/TiN/SiO2/p-Si(1 0 0) structure was investigated. Compared with the sample of TiN/SiO2/p-Si(1 0 0) structure, for the sample additionally capped with Al the flat band voltage has a very obvious shift as large as 0.54 V to the negative direction after forming gas annealing. It is also revealed that the thermal budget can effectively influence both the EWF of the gate electrode and the thickness of the gate dielectric layer when a post annealing at 600 °C with different soak times was applied to the samples with Al cap. Material characterization indicates that the diffusion of Al and the formation of Al oxide during annealing should be responsible for all the phenomena. The interface trap density Dit calculated from the high-frequency C-V and the laser-assisted high-frequency C-V curves show that the introduction of Al does not cause reliability problem in the Al/TiN/SiO2/p-Si structure.  相似文献   

6.
Novel gate stacks with epitaxial gadolinium oxide (Gd2O3) high-k dielectrics and fully silicided (FUSI) nickel silicide (NiSi) gate electrodes are investigated. Ultra-low leakage current densities down to 10–7 A cm–2 are observed at a capacitance equivalent oxide thickness of CET=1.8 nm. The influence of a titanium nitride (TiN) capping layer during silicidation is studied. Furthermore, films with an ultra-thin CET of 0.86 nm at a Gd2O3 thickness of 3.1 nm yield current densities down to 0.5 A cm−2 at Vg=+1 V. The extracted dielectric constant for these gate stacks ranges from k=13 to 14. These results emphasize the potential of NiSi/Gd2O3 gate stacks for future material-based scaling of CMOS technology.  相似文献   

7.
Metal-oxide-semiconductor capacitors based on HfO2 gate stack with different metal and metal compound gates (Al, TiN, NiSi and NiAlN) are compared to study the effect of the gate electrode material on the trap density at the insulator–semiconductor interface.CV and Gω measurements were made in the frequency range from 1 kHz to 1 MHz in the temperature range 180–300 K. From the maximum of the plot G/ω vs. ln(ω) the density of interface states was calculated, and from its position on the frequency axis the trap cross-section was found. Reducing temperature makes it possible to decrease leakage current through the dielectric and to investigate the states located closer to the band edge.The structures under study were shown to contain significant interface trap densities located near the valence band edge (around 2×1011 cm−2eV−1 for Al and up to (3.5–5.5)×1012 cm−2 eV−1 for other gate materials). The peak in the surface state distribution is situated at 0.18 eV above the valence band edge for Al electrode. The capture cross-section is 5.8×10−17 cm2 at 200 K for Al–HfO2–Si structure.  相似文献   

8.
Deep-level transient spectroscopy (DLTS) measurements were carried out on low-doped n-silicon before and after irradiation with 5.48 MeV α particles at room temperature with a fluence of 1010 α particles/cm2. The DLTS measurements on the samples identified three electron levels E1, E2 and E3 before irradiation. The deep-levels characteristic studies include emission rate signatures, activation energies, defect concentrations and capture cross sections. It was found that all pre-existing defects decreased their amplitudes during irradiation. The decrease in activation energy of level E3 and noticeable suppression of level E1 was also observed after irradiation. It was clearly seen that the composite peak E3 (combination of E2 and E3) was successfully resolved after irradiating with α particles. α-irradiation is seen to lead a significant suppression of the iron interstitial defect, and without causing any change in its room temperature annealing characteristics.  相似文献   

9.
A new self-consistent technique is proposed to simultaneously extract the density of interface traps (Dit) and flat-band voltages of MOS structures fabricated on technologically relevant high-mobility semiconductors with arbitrary combination of gate stacks. The technique is based on novel analysis of the low-frequency C-V measurement. The two major problems associated with the existing low-frequency C-V technique for arbitrary substrate/oxide combinations are resolved by (i) accurate calculation of the ideal semiconductor capacitance using a self-consistent, quantum-mechanical model including wave function penetration effect, and (ii) accurate determination of the flat-band voltage utilizing an iterative scheme. The proposed technique has been applied to extract Dit profiles of a number of MOS structures fabricated on III-V semiconductors like InGaAs (with ALD grown Al2O3 gate dielectric) and elemental semiconductors like Ge (with GeON gate dielectric). The advantages of the proposed technique have been demonstrated by comparing with Dit profiles extracted from other capacitor-based extraction methods.  相似文献   

10.
Spectroscopic ellipsometry (SE) with photon energy 0.75–6.5 eV at room temperature has been used to derive the optical properties of high-k ZrO2 thin films on Si(1 0 0) substrates prepared by nitrogen-assisted, direct current reactive magnetron sputtering. The Tauc–Lorentz dispersion method was adopted to model the optical dispersion functions of the thin films as a function of annealing temperature. Excellent agreement has been found between the SE fitting results and X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and Fourier transform infrared spectroscopy (FTIR) results, indicating that our model adequately described the measured SE data. Optical band gaps (Eg) were also obtained based on the extracted absorption edge. Our results suggest that nitrogen-assisted process can effectively limit the interfacial layer growth in high-k oxides.  相似文献   

11.
Effective work function (?m,eff) values of Hfx Ru1−x alloy gate electrodes on SiO2 metal-oxide-semiconductor (MOS) capacitors were carefully examined to assess whether the ?m,eff was determined by the crystalline structure or the composition of the HfxRu1−x alloy. X-ray diffraction results indicated that the crystalline structures of HfxRu1−x alloy were divided into hexagonal-Ru, cubic-HfRu or hexagonal-Hf with the increase of Hf content. The ?m,eff values could be controlled continuously from 4.6 to 4.0 eV by changing the Hf content. The experimental ?m,eff value showed a good agreement with theoretical results considering the compositional ratio of pure Hf and Ru. These results suggest that the ?m,eff of HfxRu1−x alloy gates on SiO2 MOS capacitors is dominantly determined by the HfxRu1−x composition rather than the crystalline structure.  相似文献   

12.
Effective work function (φm,eff) values of Ru gate electrode on SiO2 and HfO2 MOS capacitors were carefully examined and discussed from the viewpoint of an effect of oxygen incorporation in Ru gate electrode on φm,eff. Annealing at 400 °C in the reduction (3%H2) and the oxidation (1%O2) ambient resulted in similar changes in the φm,eff of Ru/HfO2/SiO2 and Ru/SiO2 MOS capacitors. Furthermore, the Ru gate MOS capacitor after annealing in the oxidation condition have shown almost the same φm,eff value to that of RuO2 gate MOS capacitors. The oxygen concentration in the Ru/HfO2 interface after annealing in oxidizing atmosphere is approximately one order of magnitude higher than that after annealing in reducing atmosphere as confirmed by secondary ion mass spectroscopy analysis. Furthermore, the higher oxygen concentration at the Ru/dielectric interface leads to the higher φm,eff value, regardless of SiO2 or HfO2 dielectrics. This indicates that φm,eff of Ru gate MOS capacitor is dominantly determined by the oxygen concentration at the Ru/dielectric layer interface rather than the dipoles originated from the oxygen vacancy in HfO2.  相似文献   

13.
Capacitance-voltage (C-V) characteristics of amorphous Indium-Gallium-Zinc-Oxide (a-IGZO) thin film transistors (TFTs) are comparatively investigated with two different measurement configurations. Normal gate-to-source/drain (S/D) C-V and quasi-static C-V curves are employed to characterize physical mechanisms with equivalent circuit models for a-IGZO TFTs. The difference between the normal C-V and the quasi-static C-V (QSCV) characteristics is investigated by the dependence on the gate voltage (VG), measurement configuration, and optical illumination. The discrepancy is analyzed to be due to a high hole barrier in the S/D contact region and a slow response of active bulk charges (Qloc and Qfree) in the a-IGZO active layer.  相似文献   

14.
Interaction of HfxTayN metal gate with SiO2 and HfOxNy gate dielectrics has been extensively studied. Metal-oxide-semiconductor (MOS) device formed with SiO2 gate dielectric and HfxTayN metal gate shows satisfactory thermal stability. Time-of-flight secondary ion mass spectroscopy (TOF-SIMS) analysis results show that the diffusion depths of Hf and Ta are less significant in SiO2 gate dielectric than that in HfOxNy. Compared to HfOxNy gate dielectric, SiO2 shows better electrical properties, such as leakage current, hysteresis, interface trap density and stress-induced flat-band voltage shift. With an increase in post metallization annealing (PMA) temperature, the electrical characteristics of the MOS device with SiO2 gate dielectric remain almost unchanged, indicating its superior thermal and electrical stability.  相似文献   

15.
The paper describes a study on the solder joint mechanical reliability evaluation of two grid array packages: a micro land grid array (μLGA) and a micro ball grid array (μBGA) for mobile phones. The μGA packages considered in this paper are at early development stages and significant changes are expected in the future. The study was carried out to evaluate whether solder joints can stand mechanical stresses induced on PCBs during normal use. Stresses induced in normal use can include: thermal changes and stresses during indoor and outdoor use, in keypad pressing, carrying phones in (tight) jeans pockets, in accidentally dropping phones, etc. The above was studied by subjecting PCBs to two types of mechanical stresses. These were cyclic twisting and bending PCBs with μBGAs to failure, while continuously monitoring the electrical continuity. Cyclic twisting and bending tests were carried at two levels.Time to failure test data was analyzed using the Weibull model. Results indicate that it is most unlikely to anticipate μBGA solder joint failures in normal use. Solder joint failures were analysed by micro sectioning and X-raying. Failure analysis revealed as expected that solder joint failure occurs primarily due to fatigue. It is recommended to continue tests with more representative, updated packages and to carry out comparative tests with current technology PCBs.  相似文献   

16.
The etching mechanism of (Bi4−xLax)Ti3O12 (BLT) thin films in Ar/Cl2 inductively coupled plasma (ICP) and plasma-induced damages at the etched surfaces were investigated as a function of gas-mixing ratios. The maximum etch rate of BLT thin films was 50.8 nm/min of 80% Ar/20% Cl2. From various experimental data, amorphous phases on the etched surface existed on both chemically and physically etched films, but the amorphous phase was thicker after the 80% Ar/20% Cl2 process. Moreover, crystalline “breaking” appeared during the etching in Cl2-containing plasma. Also the remnant polarization and fatigue resistances decreased more for the 80% Ar/20% Cl2 etch than for pure Ar plasma etch.  相似文献   

17.
18.
Magnetic resonance studies of native defects in Zinc germanium diphosphide (ZnGeP2) and their energy level positions in the band gap are reviewed and first results on defects in ZnSiP2 are presented. The contribution of the dominant native defects to the optical absorption of ZnGeP2 crystals is revealed by photo-EPR investigations in combination with the first ODMR experiments. The EPR results published for Mn and Fe in the II–IV–V2 chalcopyrites are summarized. The observation of some new Mn centers and the first experimental detection of antiferromagnetic Mn–Mn coupled pairs in ZnGeP2 are presented. In addition, new results concerning Mn-induced local changes of the free parameter xf of the chalcopyrite structure at the impurity site are discussed.  相似文献   

19.
通过微波辅助法制备出高活性H1-xSr2Nb3-xMoxO10光催化材料,制备过程和时间均被大大缩短。采用X射线粉末衍射(XRD)、扫描电镜(SEM)、紫外-可见吸收吸收光谱(UV-Vis DRS)等表征其材料性能。考察了催化材料在40W汞灯辐照下催化降解甲基橙的催化性能。实验结果表明,MoO3的掺入量为15%(摩尔分数)时,材料的光催化性能最优。  相似文献   

20.
We have investigated the etching properties of p- and n-type β-FeSi2 crystals grown from solution. Characteristic shapes of etch pits depending on the surface orientation was observed on the etched surface by using diluted hydrofluoric acid (5% of HF) and HF:HNO3:H2O=1:1:(2–8) solutions. However, the shapes of etch pits were independent of the conduction type and carrier density of the crystals. We also found the anisotropy of etch rates. The etch rates of the HF:HNO3:H2O=1:1:2 solution at 22°C were approximately 1.57, 1.43 and 1.09 μm/min on {1 1 1}, {1 0 0} and {0 0 1} faces of p-type β-FeSi2 crystals, respectively.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号