首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
设计验证中的随机约束   总被引:2,自引:0,他引:2  
随机约束在现代集成电路验证中已得到国际IC设计业界的普遍认可,并逐渐开始普及.与传统的定向测试比较,它在验证效率、验证覆盖率等方面具有诸多优势.最新公布的SystemVerilog IEEE标准中,包含了对随机约束的支持.本文举例说明了随机约束的优点、使用方法及其与断言和功能覆盖率相辅相成的关系.目前国内已有一些设计单位开始将随机约束应用于设计验证中,本文的宗旨是介绍我们的成功经验以推动这种新的验证方法在国内的推广和普及.  相似文献   

2.
本文对时下流行的验证技术(形式验证、随机、定向、有约束的随机、断言、属性检验)与语言(SystemC、C/C 、SystemVerilog、Open-VERA、E等)进行了全面评述,并分析了在传统的数字ASIC设计流程中应当在何时采用何种验证技术和语言。  相似文献   

3.
本文比较了AMBA的AHB协议和Wishbone协议,提出使用SystemVerilog语言实现AHB-Wishbone总线桥的方法。文中阐述了如何将SystemVerilog断言嵌入到设计中,监视总线信号的时序关系。结合Mentor公司的高级验证方法学(AVM)搭建验证平台,并对设计进行功能验证,采用了事务级的验证策略以及随机约束和功能覆盖率等验证技术新特性。总线桥验证平台能够极大的提高验证效率,其组件具有可重用性。最后在ModelSim工具下进行了仿真,仿真报告和结果说明了总线桥的设计符合要求。  相似文献   

4.
顺应SoC的发展趋势,Accellera标准组织提议了一个统一设计语言SystemVerilog。本文主要讨论了SystemVerilog的特点、设计优势、现状和未来趋势等,并给出了一些实例。SystemVerilog是C、C 、Superlog和Verilog的混合,它极大地扩展了抽象结构层次的设计建模和验证的能力,是SoC设计的最佳统一语言。  相似文献   

5.
基于System Verilog语言的设计验证技术   总被引:1,自引:1,他引:1  
随着集成电路设计规模的不断增大,设计验证工作越来越困难。介绍IEEE新标准SystemVerilog语言中用于验证的随机约束、功能覆盖率、断言技术和利用面向对象思想构建验证平台的一般方法。这些方法能极大提高芯片设计的效率,降低芯片设计的风险,减轻测试工程师的负担。  相似文献   

6.
盛业斐 《通信技术》2020,(1):240-244
CORDIC是一种坐标旋转算法,常用来计算向量旋转、三角与反三角函数以及数乘、除法等初等函数值。但是,由于它的形式多样,在FPGA硬件实现时,常规做法是根据不同计算需求设计特定的RTL代码,导致灵活性和可移植性受到了极大限制。因此,根据CORDIC各种运算形式的特点,利用SystemVerilog语言实现了CORDIC算法通用IP核制作,大大提高了代码的灵活性和可移植性,并且在FPGA中得到了仿真验证。  相似文献   

7.
段承超  徐金甫 《现代电子技术》2011,34(8):127-129,132
传统的验证平台编写复杂,且难以在不同设计之间重用。采用SystemVerilog支持的VMM验证方法学,并结合带约束的随机验证和覆盖率驱动的验证技术,构建可重用验证平台,完成对UART模块的验证。与直接测试方法相比,该验证平台不仅能够有效提高验证效率,而且在模块级和系统级验证过程中,能够重用该验证平台或验证组件。  相似文献   

8.
刘芳 《电子器件》2011,34(3):350-354
以UART总线接口为例介绍一种高性能验证平台.该验证平台基于SystemVerilog语言,以功能覆盖率为导向,通过带约束的随机方法产生测试激励,并具有自动检查运行结果及可重用性等特点.实践表明,与传统的验证平台相比,该平台在验证效率及功能覆盖率方面均有明显的优越性;与基于VMM搭建的验证平台相比,该平台也表现出了一定...  相似文献   

9.
本文介绍了如何用SystemVerilog验证FPGA,从而说明采用现有的验证工具和方法可以提高设计质量,并加快产品上市速度.  相似文献   

10.
新思科技有限公司(Synopsys,Inc.)日前宣布:推出基于全新VIPER架构的DiscoveryTM系列验证知识产权(VerificationIP,简称VIP)。它完全采用SystemVerilog语言编写,并对UVM、VMM和OVM方法学提供原生性支持。因此DiscoveryVIP为加快并简化最复杂系统级芯片(SoC)设计的验证工作提供了内在性能、易用性及可扩展性。  相似文献   

11.
一种新的基于FPGA的数据格式转换方法   总被引:1,自引:1,他引:0  
针对目前多数的FPGA都支持浮点IP核,却较少关注数据源获取的问题,提出了一种数据格式转换方法。使用VHDL语言,采用流水线处理方式将ASCII码所表示的一定范围内的实数转换为单精度浮点数。经过ModelSim功能仿真和实际下载验证,该设计的转换时间可达10-1μs量级。利用Matlab对转换结果进行分析验证,该方法的转换精度可达10-9。在此采用的设计结构合理,可为浮点IP核提供数据源。  相似文献   

12.
随着硬件设计复杂度的提高,设计的后期验证在设计生命周期中占据的比重也越来越大。能否对设计进行全面有效的验证,是验证人员所面临的主要问题。采用SystemVerilog语言对SRAM控制器IP核搭建验证环境,并结合SVA断言技术对其实行监控,得出代码及功能覆盖率数据。通过与传统的验证方法对比分析可知,基于SystemVerilog的验证方法更加全面有效,提高了验证质量。  相似文献   

13.
刘萌  李康  马佩军  史江一 《电子器件》2011,34(3):320-323
描述了一种基于SystemVerilog的网络处理器验证平台设计.该验证平台基于VMM架构,采用SystemVerilog语言编写所需的验证组件和功能覆盖率代码,并在设计代码中插入断言(SVA),将两者结合起来,能够快速、准确的定位出网络处理器在执行过程中发生的错误,有效对其进行功能验证.  相似文献   

14.
李艳龙  杨琪  王雪峰 《红外技术》2020,42(12):1192-1197
为实现红外图像坏元修正FPGA(field programmable gate array)的快速验证,提高测试覆盖性,设计了基于SV-DPI(SystemVerilog-direct programming interface)的FPGA自动化验证平台。采用DPI(direct programming interface)编程接口技术,实现了SystemVerilog平台调用C++编程语言,构建了针对红外图像坏元数据的生成和检测修正模型,建立了两种语言在事务级(transaction level)模型的通信。结果表明相对于传统验证方法,该平台结构简单,可以快速实现激励产生、参考模型构建、测试结果自动比对等功能,实现了红外图像坏元检测与修正FPGA的自动化测试,功能覆盖率达到100%,有效缩短FPGA测试平台搭建和调试周期,提高了测试效率和测试质量。  相似文献   

15.
随着SystemVerilog成为IEEE的P1800规范,越来越多的项目开始采用基于SystemVerilog的验证方法学来获得更多的重用扩展性、更全面的功能覆盖率,以及更合理的层次化验证结构。本文主要提出了一种基于SystemVerilog的VMM验证方法学的验证环境。在这个验证环境中,验证了一个8位的MCU,这个MCU主要应用在数据卡项目中,主要特点是时钟周期与指令周期相等,并且相对于标准MUC指令需要时钟周期较少。通常验证MCU都会应用以前的16进制代码读入ROM中,通过仿真观察波形以及输出来确认功能正确,每次只能根据实际应用程序测试对应的一部分MCU功能,缺少一个量化的指标,而且每次改动MCU,需要重新检查结果,效率比较低,而且验证质量无法保证。这里实现了用SystemVerilog来搭建一个基于VMM验证方法学的可移植、重用、扩展、完全自动检查、具有层次化结构的MCU验证平台。这里运用了VMM方法学,设计了一个层次化的验证结构,可以较简单地移植并验证其他类型的MCU,抽象了MCU指令,并且通过约束产生随机指令激励,可以实现遍历所有指令以及地址,另外功能覆盖率模型帮助能够收集并监测覆盖率。  相似文献   

16.
本文基于中科院计算所某项目实际工作,介绍如何利用高级验证语言、验证基本库、以及成熟的验证模型,快速建立可随机产生测试向量、向量场景可调制、并具有覆盖率收集功能的验证系统。项目采用SystemVerilog语言,测试平台架构基于Synopsys公司最新的验证方法VMM,并使用DesignWare系列验证IP加快平台的建立。  相似文献   

17.
浮点数加法运算是浮点运算中使用频率最高的运算。结合VHDL和FPGA可编程技术,完成具有5级流水线结构、符合IEEE754浮点数标准、可参数化为单/双精度的浮点数加法器IP核的VHDL设计。  相似文献   

18.
针对WLAN基带芯片的特点与功能验证需求,提出并实现基于SystemVerilog语言和MATLAB参考模型的功能验证方案.并给出该方案中MATLAB参考模型与验证平台的融合,功能覆盖模型的定义以及覆盖率驱动验证机制.使用该方案有效地提高一敖WLAN基带芯片的功能验证效率和质量,完成功能验证目标.  相似文献   

19.
通信短波     
《中国电子商情》2007,(10):58-62
确立VMM验证方法标准((SystemVerilog验证方法学》出版发行;中兴通讯推出新一代多业务传输平台;阿尔卡特朗讯的领先品牌VitalQIP(r)连续七年市场份额独占鳌头;中华电信选择阿尔卡特朗讯以太网/MPLS解决方案支持全岛光纤到大楼计划;安捷伦科技签署Adaptif Photonics公司收购协议,进一步扩大在光学偏振测试领域的领先地位。[编者按]  相似文献   

20.
田毅  李宏  马腾达  薛茜男 《电子器件》2013,36(4):535-539
机载应答机对飞机安全有重大的影响,应当在功能仿真阶段模拟真实信号的脉冲宽度(含阈值)以对其中的可编程逻辑器件进行严格验证。首先研究引用标准正态分布随机生成脉冲宽度和间隔,并按照实际应用改进了正态分布算法。然后在对电子器件及IP核评估的基础上,通过SystemVerilog验证语言搭建验证平台,并使用改进后的算法生成验证激励。最后在某型应答机验证过程进行了应用,达到了高效、准确验证的目的。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号