首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The integration of high-κ dielectrics in MOSFET devices is beset by many problems. In this paper a review on the impact of defects in high-κ materials on the MOSFET electrical characteristics is presented. Beside the quality of the bulk of the dielectric itself, the interfaces between the high-κ and the interfacial oxide layer and the gate electrode are of crucial importance. When poly-Si is used as gate electrode, the defects at the poly-Si/high-κ interface control the band alignment as well as the gate depletion. The quality and thickness of the interfacial SiO2 controls both the carrier mobility in the channel as well as the kinetics of charging and discharging of pre-existing high-κ defects. The quality of the interfacial layer has also important consequences for reliability specifications like negative bias instability and dielectric breakdown.  相似文献   

2.
Effects of constant voltage stress (CVS) on gate stacks consisting of an ALD HfO2 dielectric with various interfacial layers were studied with time dependent sensing measurements: DC IV, pulse IV, and charge pumping (CP) at different frequencies. The process of injected electron trapping/de-trapping on pre-existing defects in the bulk of the high-κ film was found to constitute the major contribution to the time dependence of the threshold voltage (Vt) shift during stress. The trap generation observed with the low frequency CP measurements is suggested to occur within the interfacial oxide layer or the interfacial layer/high-κ interface, with only a minor effect on Vt.  相似文献   

3.
HfO2-based high-κ dielectrics are among the most likely candidates to replace SiO2 and the currently favoured oxinitride in the next generation of MOSFETs. High-κ materials allow the use of a thicker gate dielectric, maintaining the gate capacitance with reduced gate leakage. However, they lead to a fundamental mobility degradation due to the coupling of carriers to surface soft (low-energy) optical phonons. Comparing the vertical field dependence of the mobility for HfO2 and SiO2, the severe degradation in mobility in the presence of high-κ becomes evident. The introduction of a SiO2 interfacial layer between the channel and the HfO2 mitigates this degradation, by increasing the effective distance between the carriers and the SO phonons, thus decreasing the interaction strength, this does though lead to an increase in the equivalent oxide thickness (EOT) of the gate dielectric. The material of choice for the first commercial introduction of high-κ gate stacks is Hafnium Silicate (SixHf1-xO2). This alloy stands up better to the processing challenges and as a result suffers less from dielectric fluctuations. We show that as the fraction of Hf increases within the alloy, the inversion layer mobility is shown to decrease due to the corresponding decrease in the energy of the surface optical phonons and increase in the dielectric constant of the oxide.  相似文献   

4.
In this paper we evaluate the electrical properties of silicon nitride so called “borderless nitride” deposited by PECVD process in the pre metal dielectric stack. Thus metal/silicon nitride/semiconductor structures have been analysed by an original electrical characterization based on C(V) and I(V) hysteresises. The objective is to understand how this material, initially introduced as etch stop layer and contaminant diffusion barrier, can impact active device performances. It appears that silicon nitride contains a huge defect quantity characterized in a non steady state and strongly influenced by maximum voltage applied. These charges can be balanced between either positive and negative states and are suspected to be K centers defects existing under two paramagnetic states K+ and K. In addition, a RF power variation of SiH4/NH3 ratio, giving refractive indexes from 1.94 to 2.77, have shown that flatband voltage shift decreases with [Si]/[N] ratio whereas leakage current increases.  相似文献   

5.
Dual layer dielectrics have been formed by remote PECVD deposition of ultra-thin (0.4–1.2 nm) nitrides onto thin thermal oxides grown on n-type Si(100) substrates. Activation of boron-implanted p+ polycrystalline silicon gate electrodes was accomplished by a high temperature anneal, 1–4 min at 1000°C. Boron penetration through the dielectric film to the n-type substrate was investigated by performing a quasi-static CV analysis and monitoring the flatband voltage shift. Boron penetration was effectively stopped by a 0.8 nm nitride film, and partially stopped by a 0.4 nm nitride film. In addition, the charge to breakdown as monitored by the Qbd value to 50% cumulative failure was highest for the device with the 0.8 nm top nitride, and decreased significantly in the thermal oxide. However there were essentially no differences in the mid-gap interface state densities, Dit, between oxide and nitride/oxide gate dielectric structures with Al gate. It is concluded that the 0.8 nm of plasma nitride was sufficient to block boron atom out-diffusion from a heavily implanted p+ poly-silicon gate electrode under the conditions of an aggressive implant activation anneal to improve the dielectric reliability.  相似文献   

6.
Effective metal work function, Φm,eff, and oxide charge, Qox, were determined on MOS capacitors with slanted high-κ dielectric. Φm,eff and Qox were extracted using flat-band voltage shift versus equivalent oxide thickness data, both deduced from the capacitance–voltage measurements. Slanted HfSiOx dielectric (initial thickness was 9 nm) was prepared by gradual etching in HF-based solution. As a metal electrode, thin Ru-films were deposited by MOCVD-derived technique—Atomic Vapor Deposition® on the slanted HfSiOx as well as SiO2 dielectrics. The Φm,eff of Ru was found to be 4.74 and 4.81 eV for Ru/HfSiOx and Ru/SiO2 gate stacks, respectively. Ultraviolet photoelectron spectroscopy yields the work function of 4.62 eV in agreement with the capacitance–voltage data. We also studied the I–V characteristics of the Ru/HfSiOx/Si MOS capacitors. The barrier height was found to be constant within the HfSiOx bulk.  相似文献   

7.
Tantalum pentoxide thin layers (10–100 nm) obtained by thermal oxidation of rf sputtered Ta films on Si have been investigated with respect of their dielectric, structural and electric properties. It is established that stoichiometric Ta2O5 detected at the surface of the layers is reduced to tantalum suboxides in their depth. The oxide parameters are discussed in terms of a presence of an unavoidable ultrathin SiO2 between Si and Ta2O5 and bond defects in both the oxide and the interface transition region. Conditions which guarantee obtaining high quality tantalum oxide with a dielectric constant of 32–35 and a leakage current less than 10−7–10−8 A/cm2 at 1.5 V (SiO2 equivalent thickness of 2.5–3 nm) are established. These specifications make the layers obtained suitable alternative to SiO2 for high density DRAMs application.  相似文献   

8.
We have investigated properties of insulating lanthanum oxide (La2O3) films in connection with the replacement of silicon oxide (SiO2) gate dielectrics in new generation of CMOS devices. The La2O3 layers were grown using metal organic chemical vapour deposition (MOCVD) at 500 °C. X-ray diffraction analysis revealed polycrystalline character of the films grown above 500 °C. The X-ray photoemission spectroscopy detected lanthanum carbonate as a principal impurity in the films and lanthanum silicate at the interface with silicon. Density of oxide charge, interface trap density, leakage currents and dielectric constant ( κ) were extracted from the C-V and I-V measurements. Electrical properties, in particular dielectric constant of the MOCVD grown La2O3 are discussed with regard to the film preparation conditions. The as grown film had κ11. Electrical measurements indicate possible presence of oxygen vacancies in oxide layer. The O2-annealed La2O3 film had κ17.  相似文献   

9.
Thin HfTiO gate dielectric is deposited by reactive co-sputtering method followed by wet or dry N2 anneal. The effects of Ti content on the performance of HfTiO gate dielectric are investigated by using different sputtering powers for the Ti target. Experimental results indicate that as the Ti content increases, the dielectric constant (κ) can increase up to 40 for a Ti content of 28%. However, when the Ti content is too high, the interface properties and gate leakage properties are deteriorated. On the contrary, results show that owing to the hydrolyzable property of GeOx, the wet-N2 anneal can greatly suppress the growth of unstable low-κ GeOx interlayer, resulting in lower interface-state density and gate leakage current, in addition to larger κ value. In this study, when the sputtering power of the Ti target is 80 W together with a 25-W power for the Hf target and a post-deposition anneal (PDA) in wet-N2 ambient at 500 °C for 300 s, excellent device performance is achieved: equivalent oxide thickness of 0.72 nm, equivalent dielectric constant of 39, interface-state density of 6.5 × 1011 eV−1 cm−2 and gate leakage current of 5.7 × 10−4 A/cm2 at Vg = 1 V. Therefore, in order to obtain high-quality HfTiO gate dielectric for small-scaled Ge MOS devices, not only should the Ti content be optimized, the PDA should also be done in a wet-N2 ambient.  相似文献   

10.
High-κ oxides such as ZrO2 and HfO2 have attracted great interest, due to their physical properties, suitable to replacement of SiO2 as gate dielectric materials. In this work, we investigate the tunneling properties of ZrO2 and HfO2 high-κ oxides, by applying quantum mechanical methods that include the full-band structure of Si and oxide materials. Semiempirical sp3s*d tight-binding parameters have been determined to reproduce ab initio band dispersions. Transmission coefficients and tunneling current have been calculated for Si/ZrO2/Si and Si/HfO2/Si MOS structures, showing a very low gate leakage current in comparison to SiO2-based structures with equivalent oxide thickness.  相似文献   

11.
The microwave dielectric properties of (1 − x)CaTiO3xNd(Mg1/2Ti1/2)O3 (0.1  x  1.0) ceramics prepared by the conventional solid state method have been investigated. The system forms a solid solution throughout the entire compositional range. The dielectric constant decreases from 152 to 27 as x varies from 0.1 to 1.0. In the (1 − x)CaTiO3xNd(Mg1/2Ti1/2)O3 system, the microwave dielectric properties can be effectively controlled by varying the x value. At 1400 °C, 0.1CaTiO3–0.9Nd(Mg1/2Ti1/2)O3 has a dielectric constant (εr) of 42, a Q × f value of 35 000 GHz and a temperature coefficient of resonant frequency (τf) of −10 ppm/°C. As the content of Nd(Mg1/2Ti1/2)O3 increases, the highest Q × f value of 43 000 GHz for x = 0.9 is achieved at the sintering temperature 1500 °C.  相似文献   

12.
Various conventional and novel electrical characterization techniques have been combined with careful, robust analysis to properly evaluate high-κ gate dielectric stack structures. These measurement methodologies and analysis techniques have enhanced the ability to separate pre-existing defects that serve as fast transient charging and discharging sites from defects generated with stress. In addition, the differentiation of electrically active bulk high-κ traps, silicon substrate interface traps, and interfacial layer traps has been effectively demonstrated.  相似文献   

13.
We have fabricated thin catalytic metal–insulator–silicon carbide based structure with palladium (Pd) gates using TiO2 as the dielectric. The temperature stability of the capacitor is of critical importance for use in the fabrication of electronics for deployment in extreme environments. We have evaluated the response to temperatures in excess of 450 °C in air and observed that the characteristics are stable. Results of high temperature characterization are presented here with extraction of interface state density up to 650 °C. The results show that at temperatures below 400 °C the capacitors are stable, with a density of interface traps of approximately 6×1011 cm2 eV−1. Above this temperature the CV and GV characteristics show the influence of a second set of traps, with a density around 1×1013 cm2 eV−1, which is close to that observed for slow states near the conduction band edge. The study of breakdown field as a function of temperature shows two distinct regions, below 300 °C where the breakdown voltage has a strong temperature dependence and above 300, where it is weaker. We hypothesize that the oxide layer dominates the breakdown voltage at low temperature and the TiO2 layer above 300 °C. These results at high temperatures confirms the suitability of the Pd/TiO2/SiO2/SiC capacitor structure for stable operation in high temperature environments.  相似文献   

14.
The results of an investigation of time-dependent dielectric breakdown (TDDB) of thin gate oxide and nitride–oxide (N–O) films are presented for a wide range of fields and temperatures. It was found that TDDB of both gate oxide and N–O films followed a power-law dependence of mean value of average leakage current (Iavg). An empirical extrapolation model using average leakage current as a major parameter was proposed based on experimental results. This proposed lifetime model has been successful to predict dielectric reliability. It could continuously fit the entire breakdown data from both wafer level and module level stress. The extrapolation from wafer level data to module data was excellent. The power of current versus TDDB showed exponential dependence on oxide thickness. This proposed TDDB projection methodology also worked for N–O films with an abrupt current increase in the IV curve at a certain voltage well below the breakdown voltage, while the conventional models clearly failed to fit all data from this region. The observation of TDDB dependence of the current may open a new window for oxide lifetime projections and provide some insights into the nature of oxide breakdown and its implications for reliability studies.  相似文献   

15.
The effects of interfacial layer quality on the low-frequency noise behavior of p-channel MOSFETs with high-κ gate dielectric and metal gate are investigated. Devices with chemically grown SiO2 interfacial layers (0.8 nm) are compared with N2O (0.8 nm) interfacial oxides. A 0.4 nm SiO2 interfacial layer device is used for comparison purposes. A cross-over kind of behavior has been observed in N2O devices, which occur at lower gate voltages (1.2–1.3 V) when normalized spectral densities and input referred noise are investigated. This behavior is found to be closely related to the observed transconductance variation in these devices. The dominant mechanism of 1/f noise is found to be Hooge’s mobility fluctuations. Hooge’s parameter, as a figure of merit, shows an increase for 0.4 nm devices when compared to 0.8 nm devices, while 0.8 nm N2O devices confirm their cross-over nature.  相似文献   

16.
The electronic structures of the Ga1−xInxNyAs1−y/GaAs compressively strained quantum wells (QW) are investigated using 6×6 k·p Hamiltonian including the heavy hole, light hole and spin–orbit splitting band. The curves of dependence of transition energy on well width and N mole fraction are obtained. The valence subband energy dispersion curves, density of state and TE and TM squared optical transition matrix elements of three possible QW structures for emitting 1.3 μm wavelength are given.  相似文献   

17.
In this paper, we show that the capacitance–voltage linearity of MIM structures can be enhanced using SrTiO3 (STO)/Y2O3 dielectric bilayers. The C(V) linearity is significantly improved by combining two dielectric materials with opposite permittivity-voltage responses. Three STO/Y2O3 stacks with different thicknesses were realized and compared to a 20 nm STO single layer structure. We observed that an increase in the Y2O3 thickness leads to an improvement in the voltage linearity, while maintaining an overall capacitance density greater than 10 fF/μm2.  相似文献   

18.
A new approach for high-efficiency polymer solar cells utilizing a BHJ active layer consisting of poly(3-hexylthiophene) (P3HT) as a donor and buckminsterfullerene, C60 as an acceptor was demonstrated. P3HT/C60 BHJ films were made possible by in situ formation of C60 from solubilized addends, C60–CpCO2R (R = Hex, Oct, and EHex) by retro Diels–Alder reaction at, or above, 100 °C. These cells exhibit enhanced performances compared to as-prepared P3HT/C60 BHJ films, showing better morphology.  相似文献   

19.
Thick copper (Cu)/Black Diamond™ (BD) layer up to 4 μm has successfully been integrated in CMOS interconnect process to improve the quality of on-chip RF passive components. It is shown that BD film is easy to crack when its thickness is up to 4 μm. However, by inserting one or few layers of dielectric material, BloK™, the stress in the entire dielectric film stack can be reduced. Although the reduction of the tensile stress of the stack is insignificant, the inserted BloK™ layer effectively prevents cracking from happening in the film stack. Spiral inductors have been integrated in developed Cu/BD (4 μm) top-metal-layer. Both Q value and resonate frequency of developed inductors are improved comparing to the inductors fabricated in previous top-metal-layer with 1 μm Cu/SiO2 stack.  相似文献   

20.
Low-voltage pentacene organic field-effect transistors (OFETs) with different gate dielectric interfaces are studied and their performance in terms of electrical properties and operational stability is compared. Overall high electrical performance is demonstrated at low voltage by using a 100 nm-thick high-κ gate dielectric layer of aluminum oxide (Al2O3) fabricated by atomic layer deposition (ALD) and modified with hydroxyl-free low-κ polymers like polystyrene (PS), divinyltetramethyldisiloxane-bis(benzocyclobutene) (BCB) (Cyclotene™, Dow Chemicals), and as well as with the widely used octadecyl-trichlorosilane (OTS). Devices with PS and BCB dielectric surfaces exhibit almost similar electrical performance with high field-effect mobilities, low subthreshold voltages, and high on/off current ratios. The higher mobility in pentacene transistors with PS can be correlated to the better structural ordering of pentacene films, as demonstrated by atomic force microscopy (AFM) images and X-ray diffraction (XRD). The devices with PS show good electrical stability under bias stress conditions (VGS = VDS = −10 V for 1 h), resulting in a negligible drop (2%) in saturation current (IDS) in comparison to that in devices with OTS (12%), and to a very high decay (30%) for the devices with BCB.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号