首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Plasma Etching for Sub-45-nm TaN Metal Gates on High-k Dielectrics   总被引:1,自引:0,他引:1  
Etching of TaN gates on high-k dielectrics (HfO2 or HfAlO) is investigated using HBr/Cl2 chemistry in a decoupled plasma source (DPS). The patterning sequence includes 248-nm lithography, plasma photoresist trimming, etching of a SiN-SiO2 hard mask, and photoresist stripping, followed by TaN etching. TaN etching is studied by design of experiment (DOE) with four variables using a linear model with interactions. It is found that at a fixed substrate temperature and wafer chuck power, etch critical dimensions (CD) gain decreases with decreasing HBr/Cl2 flow rate ratio and pressure and with increasing source power and total gas flow rate. Based on these DOE findings, subsequent optimization is performed and a three-step etching process is developed; a main feature of the process is progressively increasing HBr/Cl2 flow rate ratio. The optimized process provides etch CD gain within 2 nm and gate profile close to vertical and reliable etch-stop on high-k dielectric. This process is successfully applied to the fabrication of the 40-nm HfAlO/TaN gate stack p-MOSFETs with good electrical parameters  相似文献   

2.
A plasma etching process for patterning LPCVD (low-pressure chemical vapor deposition) Si3N4 which has been formed on thin thermally grown SiO2 has been developed and characterized with an Applied Materials 8110 batch system using 100-mm-diameter silicon wafers. To fulfill the primary process objectives of minimal critical dimension (CD) loss (~0.08 μm), vertical profiles after etch, retention of some of the underlying thermal SiO2, and batch etch uniformity, the reactor has been characterized by evaluating the effects of variation of reactor pressure (15 to 65 mTorr), O2 concentration by flow rate (30 to 70%) of an O2/CHF2 mixture, and DC bias voltage (-200 to -550 V). Analysis of the resulting etch rate, etch uniformity, dimensional, and profile data suggests that satisfactory processing may be achieved at low reactor pressure (~25 mTorr), 50-60% O2 by flow rate in O2/CHF3, and low DC bias (-200 to -250 V)  相似文献   

3.
The characteristics of 0.15- mum InAlAs/InGaAs pseudomorphic high-electron mobility transistors (p-HEMTs) that were fabricated using the Ne-based atomic layer etching (ALET) technology and the Ar-based conventional reactive ion etching (RIE) technology were investigated. As compared with the RIE, the ALET used a much lower plasma energy and thus produced much lower plasma-induced damages to the surface and bulk of the In0.52AI0.48As barrier and showed a much higher etch selectivity (~70) of the InP spacer against the In0.52Al0.48As barrier. The 0.15-mum InAlAs/InGaAs p-HEMTs that were fabricated using the ALET exhibited improved Gm,max (1.38 S/mm), IONn/IOFF(1.18X104), drain-induced barrier lowering (80 mWV), threshold voltage uniformity (Vth,avg = -190 mV and alpha = 15 mV), and ftau (233 GHz), mainly due to the extremely low plasma-induced damage in the Schottky gate area.  相似文献   

4.
An In0.52Al0.48As/In0.6Ga0.4 As metamorphic high-electron mobility transistor (MHEMT) with 0.15-mum Gamma-shaped gate using deep ultraviolet lithography and tilt dry-etching technique is demonstrated. The developed submicrometer gate technology is simple and of low cost as compared to the conventional E-beam lithography or other hybrid techniques. The gate length is controllable by adjusting the tilt angle during the dry-etching process. The fabricated 0.15-mum In0.52Al0.48As/In0.6Ga0.4As MHEMT using this novel technique shows a saturated drain-source current of 680 mA/mm and a transconductance of 728 mS/mm. The fT and fmax of the MHEMT are 130 and 180 GHz, respectively. The developed technique is a promising low-cost alternative to the conventional submicrometer E-beam gate technology used for the fabrication for GaAs MHEMTs and monolithic microwave integrated circuits  相似文献   

5.
Reactive ion etching of Ta36Si14N50 diffusion barrier layers was performed in CHF3+O2 plasmas. Etch depths and rates were determined as a function of etch gas composition, cathode power, and etching time. Etching proceeds only after an initial delay which depends on gas composition and cathode power. This delay is attributed to the presence of a native surface oxide which must first be removed before etching can commence. Maximum etch rate was attained at 62.5% O2 concentration, which also corresponds to minimum delay  相似文献   

6.
High-performance inversion-type enhancement-mode n-channel In0.53Ga0.47As MOSFETs with atomic-layer-deposited (ALD) Al2O3 as gate dielectric are demonstrated. The ALD process on III-V compound semiconductors enables the formation of high-quality gate oxides and unpinning of Fermi level on compound semiconductors in general. A 0.5-mum gate-length MOSFET with an Al2O3 gate oxide thickness of 8 nm shows a gate leakage current less than 10-4 A/cm2 at 3-V gate bias, a threshold voltage of 0.25 V, a maximum drain current of 367 mA/mm, and a transconductance of 130 mS/mm at drain voltage of 2 V. The midgap interface trap density of regrown Al2O3 on In0.53Ga0.47As is ~1.4 x 1012/cm2 ldr eV which is determined by low-and high-frequency capacitance-voltage method. The peak effective mobility is ~1100 cm2 / V ldr s from dc measurement, ~2200 cm2/ V ldr s after interface trap correction, and with about a factor of two to three higher than Si universal mobility in the range of 0.5-1.0-MV/cm effective electric field.  相似文献   

7.
It is reported for that H2 plasma followed by O2 plasma is more effective for passivating grain boundary states in polysilicon thin film. Polysilicon thin-film transistors (TFTs) made after H2/O2 plasma treatment can exhibit a turn-on threshold voltage of -0.1 V, a subthreshold swing of 0.154 V/decade, an ON/OFF current ratio Ion/Ioff over 1×108, and an electron mobility of 40.2 cm2 /V-s  相似文献   

8.
A methodology for the measurement of the inversion layer mobility on trench gate structures, which allows independent measurement of the sidewall and bottom surface mobilities, is described. Using this method, the inversion layer mobility has been experimentally studied for trenches formed using the SF6/O2 method on diffused base regions of power UMOSFETs. The effect of several post RIE surface treatments on the surface mobility is reported. The measured sidewall mobilities have been found to be comparable to those previously reported for other RIE etchants. These results are of interest for the design of devices using the trench gate (UMOS) technology  相似文献   

9.
Plasma-induced damage effects of oxides and oxynitrides are studied using metal-oxide-semiconductor (MOS) test capacitors in an O 2 plasma environment inside a capacitive-coupled parallel plate RF etcher. Damage to both covered and sidewall-exposed samples are compared. As expected, it was found that as the exposure time was increased, more damage to the oxide was observed. Furthermore, N2 O-samples showed better immunity to O2-plasma damage than the thermally grown O2 samples during O2 plasma exposure. However, the edge-intensive sidewall-exposed structures showed surprisingly less damage than the fully covered structures after a fixed plasma exposure time. This reduced damage effect for the sidewall-exposed structures is believed to be due to an in situ annealing as the result of the photoelectron injection by low-energy UV light interacting with the substrate. The in situ annealing does not occur in the fully covered structure since the low-energy UV light is blocked by the thick polysilicon gate. The results indicate that plasma damage evaluation using fully covered capacitors alone cannot be used to predict the actual damage in CMOS integrated circuits where there are almost always exposed thin oxide structures  相似文献   

10.
Manufacturable etch processes for 0.18 μm technology TEOS bi-level contacts and vias (TEOS or TEOS/FOX/TEOS) are demonstrated in a low pressure high density reactor. Good CD control and high yields are demonstrated for structures down to 0.25 μm. In the process regimes used, the photoresist etch rate and the selectivity to underlayer are correlated with the amount of free fluorine in the plasma. The same TCP 9100 reactor can be used for low k polymer (Silk™ from Dow Chemical) etching with in situ hardmask open. A compromise between hard mask facetting and bowing has to be made unless passivating gases are added to an O2/N2 chemistry. For several architectures, initial results show potential integration with Cu.  相似文献   

11.
A two-stage plasma etch texturination process to control the level of crystalline silicon surface roughness has been investigated. Initially, a Cl2 plasma etch is used to produce a very rough Si surface. This is followed by an isotropic SF6 plasma etch, whose etch time is used to reduce and control the level of surface roughness created by the previous step. Oxides grown on texturized Si surfaces with short SF6 etch times exhibit lower effective SiO2/Si barrier height and greater electron injection enhancement than those with longer SF6 etch times  相似文献   

12.
MOS characteristics of ultrathin gate oxides prepared by furnace oxidizing Si in N2O have been studied. Compared to control oxides grown in O2, N2O oxides exhibit significantly improved resistance to charge trapping and interface state generation under hot-carrier stressing. In addition, both charge to breakdown and time to breakdown are improved considerably. MOSFETs with N2O gate dielectrics exhibit enhanced current drivability and improved resistance to gm degradation during channel hot-electron stressing  相似文献   

13.
The electrical characteristics of a novel HfTaON/SiO2 gate stack, which consists of a HfTaON film with a dielectric constant of 23 and a 10-Aring SiO2 interfacial layer, have been investigated for advanced CMOS applications. The HfTaON/SiO2 gate stack provided much lower gate leakage current against SiO2 , good interface properties, excellent transistor characteristics, and superior carrier mobility. Compared to HfON/SiO2, improved thermal stability was also observed in the HfTaON/SiO2 gate stack. Moreover, charge-trapping-induced threshold voltage V th instability was examined for the HfTaON/SiO2 and HfON/SiO2 gate stacks. The HfTaON/SiO2 gate stack exhibited significant suppression of the Vth instability compared to the HfON/SiO2, in particular, for nMOSFETs. The excellent performances observed in the HfTaON/SiO2 gate stack indicate that it has the potential to replace conventional SiO2 or SiON as gate dielectric for advanced CMOS applications  相似文献   

14.
We proposed a new p+/n+ poly-Si gate bulk fin-type field-effect transistor that has two channel fins separated locally by a shallow trench filled with oxide or p+ polygate. Key device characteristics were investigated by changing the n+ poly-Si gate length La, the material filling the trench, and the width and length of the trench at a given gate length Lg. It was shown that the trench filled with p+ poly-Si gate should not be contacted with the source/drain diffusion region to achieve an excellent Ion/Ioff (> 1010) that is suitable for sub-50-nm dynamic random access memory cell transistors. Based on the aforementioned device structure, we designed reasonable Ls/Lg and channel fin width Wcfin at given Lg 's of 30, 40, and 50 nm.  相似文献   

15.
We present a systematic study of the impact of CF4 plasma treatment on GaN. It was found that CF4 plasma etches GaN at a slow rate and yields a smooth etched surface. The effect of CF4 plasma on electrical characteristics of GaN metal-semiconductor field-effect-transistor structures shows that the CF4 plasma introduces acceptors into the near surface region of the GaN, which depletes mobile electrons. It was further demonstrated that leakage current of AlGaN/GaN (or GaN) Schottky diodes can be significantly suppressed by proper CF4 plasma treatment. These unique properties of CF4 plasma can be utilized for the advanced processing of GaN transistors.  相似文献   

16.
The electrical properties of p- and n-MOS devices fabricated on germanium with metal-organic chemical-vapor-deposition HfO2 as gate dielectric and silicon passivation (SP) as surface treatment are extensively investigated. Surface treatment prior to high-K deposition is critical to achieve small gate leakage currents as well as small equivalent oxide thicknesses. The SP provides improved interface quality compared to the treatment of surface nitridation, particularly for the gate stacks on p-type substrate. Both Ge p- and n-MOSFETs with HfO2 gate dielectrics are demonstrated with SP. The measured hole mobility is 82% higher than that of the universal SiO2/Si system at high electric field (~0.6 MV/cm), and about 61% improvement in peak electron mobility of Ge n-channel MOSFET over the CVD HfO2 /Si system was achieved. Finally, bias temperature-instability (BTI) degradation of Ge MOSFETs is characterized in comparison with the silicon control devices. Less negative BTI degradation is observed in the Ge SP p-MOSFET than the silicon control devices due to the larger valence-band offset, while larger positive BTI degradation in the Ge SP n-MOSFET than the silicon control is characterized probably due to the low-processing temperature during the device fabrication  相似文献   

17.
We report for the first time the successful epitaxial growth and processing of high-performance metamorphic high electron mobility transistors (HEMTs) on Ge substrates, with a transconductance of 700 mS/mm and a saturation channel current of 650 mA/mm. To reduce parasitic capacitances due to the conductive substrate, a dry etch method based on CF4 and O2 reactive ion etching (RIE) is developed for selective substrate removal. Devices with 0.2 μm gate length display an increase of the extrinsic cut-off frequency fT from 45 GHz before, to 75 GHz after substrate removal, whereas the maximum oscillation frequency fmax increases from 68 GHz to 95 GHz. Based on this excellent rf performance level, in combination with the highly selective thinning process, we think that Ge as a sacrificial substrate is a promising candidate for the integration of thinned individual HEMTs with passive circuitry on low-cost substrates. This could result in low-cost advanced hybrid systems for mass-market millimeter wave applications  相似文献   

18.
Novel yttrium- and terbium-based interlayers (YIL and TbIL, respectively) on SiO2 and HfO2 gate dielectrics were employed for NMOS work function Phim modulation of undoped nickel fully silicided (Ni-FUSI) gate. Bandedge Ni-FUSI gate Phim of ~4.11 and ~4.07 eV was obtained by insertion of ultrathin (~1 nm) YIL and TbIL, respectively, on the SiO2 gate dielectric in a gate-first process (with 1000 degC anneal). NiSi Phim on SiO2 could also be tuned between the Si midgap and the conduction bandedge EC by varying the interlayer thickness. The achievement of NiSi Phim around 4.28 eV on the HfO2 gate dielectric using interlayer insertion makes this an attractive Phim modulation technique for Ni-FUSI gates on SiO2 and high-k dielectrics  相似文献   

19.
This letter reveals the physical and electrical properties of silicon dioxide (Si02) formed by the plasma selective oxidation (plasma selox) using 02 and H2 gas mixture, which is applicable to sub-50-nm tungsten-polymetal gate memory devices without capping nitride film. Metal-oxide-semiconductor capacitors with gate oxide formed by the plasma selox at the process temperature in the range of 400degC-700degC showed much better time-dependent dielectric-breakdown characteristics than those formed by the conventional thermal selox at 850degC. On the other hand, in the case of very low temperature (25degC) plasma selox, the gate oxide degradation such as initial breakdown was found. It turned out to be due to the excessive hydrogen and water incorporation into the SiO2 layer through thermal desorption spectroscopy measurements.  相似文献   

20.
Advances in lithography and thinner SiO2 gate oxides have enabled the scaling of MOS technologies to sub-0.25-μm feature size. High dielectric constant materials, such as Ta2O5 , have been suggested as a substitute for SiO2 as the gate material beyond tox≈25 Å. However, the Si-Ta 2O5 material system suffers from unacceptable levels of bulk fixed charge, high density of interface trap states, and low silicon interface carrier mobility. In this paper we present a solution to these issues through a novel synthesis of a thermally grown SiO2(10 Å)-Ta2O5 (MOCVD-50 Å)-SiO2 (LPCVD-5 Å) stacked dielectric. Transistors fabricated using this stacked gate dielectric exhibit excellent subthreshold behaviour, saturation characteristics, and drive currents  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号