首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 78 毫秒
1.
本文在介绍传统FFT原理和流程的基础上,根据具体应用要求,结合基-4算法的长处,对传统基-8FFT的结构做了改进,并用ASIC实现了一个12位64点复数FFT的计算。布线后门级模型的仿真验证了改进后的结构不但计算正确,而且效率有显著的提高。论文最后简单总结了改进后12位64点复数FFT专用电路目前已经达到的性能指标。  相似文献   

2.
基12FFT算法     
邱会中  王建国 《电子学报》1991,19(3):116-117
对N=12~M点DFT,本文介绍了一个基12FFT算法,该算法只有3.952N.log_2N次实数运算。  相似文献   

3.
一种高性能FFT处理器的VLSI结构设计   总被引:5,自引:0,他引:5  
孙阳  余锋 《微电子学》2003,33(4):358-361
针对高速数字信号处理的特点,研究了一种高性能FFT处理器的硬件结构。计算单元采用基4并行算法,使得基4碟形运算可以在一个时钟周期内完成,极大地提高了计算速度。根据该硬件结构,使用硬件描述语言和采用自顶向下的设计方法,完成了FFT处理器的电路设计。经硬件验证,达到设计要求。在系统时钟频率为100MHz时,1024点复数FFT的计算时间为12.8μs。  相似文献   

4.
用FPGA实现FFT算法   总被引:6,自引:0,他引:6  
罗雪苟  詹阳 《今日电子》2002,(2):11-12,18
引言 DFT(Discrete Fourier Transformation)是数字信号分析与处理如图形、语音及图像等领域的重要变换工具,直接计算DFT的计算量与变换区间长度N的平方成正比。当N较大时,因计算量太大,直接用DFT算法进行谱分析和信号的实时处理是不切实际的。快速傅立叶变换(Fast FourierTransformation,简称FFT)使DFT运算效率提高1~2个数量级。其原因是当N较大时,对DFT进行了基4和基2分解运算。FFT算法除了必需的数据存储器ram和旋转因子rom外,仍需较复杂的运算和控制电路单元,即使现在,实现长点数的FFT仍然是很困难。本文提出的FFT实现算法是基于FPGA之上的,算法完成对一个序列的FFT计算,完全由脉冲解发,外部只输入一脉冲头和输入数据,便可以得到该脉冲头作为起始标志的N点FFT输出结果。由于使用了双  相似文献   

5.
6.
数字图像FFT算法及编程的探讨   总被引:4,自引:2,他引:2  
韩彦中 《红外技术》2003,25(3):27-28,36
随着计算机软件、硬件技术的迅速发展,离散傅里叶变换已经成为图像处理的一种重要手段。对于宽和高像素数为2的幂数的图像可以直接采用成熟的以2为基的FFT算法,而对于任意宽高的图像,它的FFT算法比较复杂。通过先采用插值算法对图像进行缩放,再进行基2的FFT变换,然后还原图像,实验表明,运算速度和结果都收到很好的效果。  相似文献   

7.
基于FPGA的FFT/IFFT处理器的实现   总被引:1,自引:0,他引:1  
孙阳  余锋 《电子工程师》2002,28(12):52-54
提出一种利用并行算法来实现FFT(快速傅里叶变换)及其逆变换IFFT(快速傅里叶逆变换)的设计方法。该处理器可由用户动态配置成64、256、1024点复数FFT或其逆变换IFFT。  相似文献   

8.
基于FFT的OFDM调制解调的DSP实现   总被引:1,自引:0,他引:1  
介绍了以FFT实现OFDM调制解调的原理和基4按频率抽取快速傅里叶算法,并在TMS320c6201 EVM板上的仿真实现.  相似文献   

9.
快速傅里叶变换(FFT)是一种将信号从时域变换到频域的变换形式,是声学、图像、电信和信号处理等领域中一种重要的分析工具。近年来,专用的数字信号处理器以其优化的硬件结构和优良的性能价格比为FFT的实现提供了一个有效的途径。详细介绍了以浮点型DSP5416为核心的实现FFT算法的硬件平台设计。  相似文献   

10.
苏涛  庄德靖 《现代雷达》2005,27(7):23-26
针对高速实时信号处理的需要,提出了一种对任意长度序列进行FFT的快速改进算法。通过对FFT处理前数据添零个数和DFT分解参数的优化选择,显著降低了FFT处理的运算量。结合频域脉冲压缩等信号处理实例,探讨了该算法在高速DSP上实现时的资源分配、程序编程以及传输I/O瓶颈问题,分别提出了具体的解决方法,并在实际DSP系统中测试了这种改进算法的性能指标,将其和普通算法的性能作了比较。  相似文献   

11.
16点基4-FFT芯片设计技术研究   总被引:2,自引:0,他引:2  
丁晓磊  朱恩  赵梅 《信息技术》2007,31(1):64-67,71
FFT算法是高速实时信号处理的关键算法之一,在很多领域有广泛应用。文中采用了基-4,按时间抽取FFT算法,完成了16点,32bit位长,定点复数FFT的设计。基-4蝶形单元中采用32位Booth算法乘法器,并使用3级流水线设计,并行的处理四路输入数据,极大地提高了FFT的处理速度。本设计划分为多个功能模块,全部采用Verilog HDL语言描述,并且通过仿真验证。  相似文献   

12.
基于FPGA的FFT算法硬件实现   总被引:1,自引:0,他引:1  
童庆为  陈建春 《电子科技》2010,23(11):113-115
设计了一种基于FPGA的1 024点16位FFT算法,采用了基4蝶形算法和流水线处理方式,提高了系统的处理速度,改善了系统的性能。提出了先进行前一级4点蝶形运算,再进行本级与旋转因子复乘运算的结构,合理地利用了硬件资源。对系统划分的各个模块使用Verilog HDL进行编码设计。对整个系统整合后的代码进行功能验证之后,采用Quartus Ⅱ与Matlab进行联合仿真,其结果是一致的。该系统既有DSP器件实现的灵活性又有专用FFT芯片实现的高速数据吞吐能力,在数字信号处理领域有广泛应用。  相似文献   

13.
提出了一种适用于OFDM系统FFT模块的FPGA实现方法。用硬件描述语言Verilog HDL进行了描述,用ISE6.2i工具完成了设计的输入、综合及布局布线,并用Xilinx SpartanⅡFPGA进行了验证。结果表明,所设计的FFT模块在精度和资源上达到了预期目标,具有简单、高效的特点,可以满足某些OFDM系统的需求。  相似文献   

14.
史明泉 《无线电工程》2011,41(10):53-54,64
快速傅里叶变换(Fast Fourier Transformation,FFT)作为时域和频域转换的基本运算,是谱分析的必要前提,广泛应用于信号的实时处理。分析了FFT的基本原理,以TI公司的TMS320VC5402 DSP为硬件平台,实现了实时快速傅里叶变换算法,验证了在DSP上实现快速傅里叶变换(FFT)的准确性和可行性,对信号谱分析具有重要意义。  相似文献   

15.
快速傅里叶变换(FFT)作为数字信号处理的核心技术,使离散傅里叶变换(DFT)的运算时间缩短了几个数量级,并在LTE系统中有着重要的应用。在LTE系统中,上行链路采用单载波频分多址技术(SC-FDMA),下行链路采用正交频分多址技术(OFDMA)。在这两种技术实现的过程中,都采用了离散傅里叶变换进行处理,而直接计算DFT的计算量太大。通过对LTE系统中Cooley-Tukey FFT算法进行了研究,同时利用Verilog HDL描述的方式实现了不定点FFT系统设计,并以FPGA芯片virtex5为硬件平台,进行了仿真、综合等工作,仿真结果表明其计算结果达到了一定的精度。  相似文献   

16.
基于Cyclone系列FPGA的1 024点FFT算法的实现   总被引:5,自引:0,他引:5  
介绍了一种用低成本Cyclone系列FPGA(现场可编程门阵列)实现基于按D IF(频率抽取)rad ix 2结构1 024点FFT(快速傅里叶变换)算法的方法。本设计采用Verilog语言编程实现,利用EDA(电子设计自动化)工具对设计进行了仿真、综合,并在开发板上实现板级验证,最后分析了整个设计的性能,说明在低成本Cyclone系列上可以实现高速FFT算法。  相似文献   

17.
设计实现了基于FPGA的256点定点FFT处理器。处理器以基-2算法为基础,通过采用高效的两路输入移位寄存器流水线结构,有效提高了碟形运算单元的运算效率,减少了寄存器资源的使用,提高了最大工作频率,增大了数据吞吐量,并且使得处理器具有良好的可扩展性。详细描述了具体设计的算法结构和各个模块的实现。设计采用Verilog HDL作为硬件描述语言,采用QuartusⅡ设计仿真工具进行设计、综合和仿真,仿真结果表明,处理器工作频率为72 MHz,是一种高效的FFT处理器IP核。  相似文献   

18.
针对DAB模式I下的系统参数,本文介绍了OFDM调制中2048点FFT的FPGA实现技术关键点,包括蝶形运算的设计,数据存储地址的产生,旋转因子及其存储地址的产生.同时介绍了块浮点结构实现FFT的方法.  相似文献   

19.
基于FPGA的新型高速FFT算法研究与实现   总被引:2,自引:0,他引:2       下载免费PDF全文
提出一种新型基8/4FFT算法及其实现结构,设计出高速的处理模块.该设计可选择性地实现8 k、4 k及2 k点FFT;通过乘法器的复用,有效降低硬件消耗;应用对称乒乓RAM结构提高了蝶型运算单元的连续运算能力.模块利用Verilog语言进行描述,在Quartus5.0软件环境中完成输入、综合及布局布线.结果表明本文提出的算法结构具有优越的精度和速度,充分能够满足实际应用要求.  相似文献   

20.
针对高速64点FFT(快速傅里叶变换)处理芯片的实现,分析了FFT运算原理,并根据FFT算法原理介绍了改进的FFT运算流图。介绍了FFT处理器系统的各模块的功能划分,并根据FFT处理器结构及其特殊寻址方式,采用Verilog HDL对处理器系统的控制器、双数据缓存、地址生成器、蝶形运算单元以及I/O控制等模块进行了RTL(寄存器传输级)设计,并在ModelSim中对各模块以及整个系统进行功能仿真和验证,给出了部分关键模块的仿真波形图。设计中,注重从硬件实现以及电路的可综合性等角度进行RTL电路设计,以确保得到与期望性能相符的硬件电路。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号