首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到17条相似文献,搜索用时 140 毫秒
1.
全光归零(RZ)到非归零(NRZ)码型转换技术研究进展   总被引:1,自引:0,他引:1  
惠战强 《激光与红外》2011,41(5):492-500
随着多媒体网络服务业务类型的不断出现,人们对因特网带宽需求日益增长,未来的超高速大容量光子网络很可能是波分复用与时分复用相结合的智能网络。全光归零(RZ)到非归零(NRZ)的码型转换技术,是构建这种网络的关键技术之一,它能避免电子学器件的速率瓶颈,将时分复用(OTDM)与波分复用(WDM)有机结合,在光域内实现不同调制格式的数据在网络的不同部分之间自由传输,已经引起了越来越多人们的兴趣。介绍了当前全光归零到非归零码型转换技术的最新研究进展,分析了其工作原理,优缺点及性能参数,指出了目前存在的技术难点问题,最后对其发展前景进行了展望。  相似文献   

2.
惠战强 《激光与红外》2011,41(4):492-500
随着多媒体网络服务业务类型的不断出现,人们对因特网带宽需求日益增长,未来的超高速大容量光子网络很可能是波分复用与时分复用相结合的智能网络。全光归零(RZ)到非归零(NRZ)的码型转换技术,是构建这种网络的关键技术之一,它能避免电子学器件的速率瓶颈,将时分复用(OTDM)与波分复用(WDM)有机结合,在光域内实现不同调制格式的数据在网络的不同部分之间自由传输,已经引起了越来越多人们的兴趣。介绍了当前全光归零到非归零码型转换技术的最新研究进展,分析了其工作原理,优缺点及性能参数,指出了目前存在的技术难点问题,最后对其发展前景进行了展望。  相似文献   

3.
基于信号频谱变换的原理,利用微环谐振器的梳状谱传输特性,辅助以窄带滤波器,将全光归零(RZ)码信号的频谱包络转变为较理想的非归零(NRZ)码信号的频谱包络,从而实现RZ码到NRZ码的码型转换。详细研究了转换后的NRZ信号眼图质量与设备因素,如窄带滤波器的选择、微环谐振器的耦合条件以及输入信号RZ码占空比的关系。结果表明窄带滤波器为2阶巴特沃斯滤波器时,微环谐振器的耦合条件以及RZ码占空比对码型转换效果的影响较小。另外,通过调整微环谐振器的尺寸,设计的码型转换器能与不同速率的系统相兼容,能更好地适应未来光网络的发展。  相似文献   

4.
设计了一种基于偏振调制(PolM)实现非归零码(NRZ)信号到归零码(RZ)信号转换的新型码型转换器,并采用光通信模拟软件对其进行了仿真验证。所设计的转换器,首先采用PolM,对输入的NRZ信号进行偏振调制,然后采用射频时钟信号,抑制信号的旁瓣,实现NRZ到RZ的转换。该码型转换器具有RZ信号占空比可控,经码型转换器后各信号波长相同,时间抖动小,转换效率高,成本低优点,可望在高速光通信网络中得到广泛应用。  相似文献   

5.
利用马赫-曾德尔延时干涉(MZ-DI)装置对40Gb/s的归零(RZ)码到非归零(NRZ)码的全光码型转换方案进行研究.利用通信软件模拟了40Gb/s的码型转换过程,实现稳定的不同占空比的RZ码到NRZ码的码型转换.当占空比约为0.3时,转换效果最佳.  相似文献   

6.
基于微波光子滤波器的归零到非归零码型转换研究   总被引:3,自引:1,他引:2  
徐静  江阳  周竹雅  李恒文  王顺艳 《中国激光》2012,39(9):905008-122
从信号频域处理的角度分析并实验验证了一种基于微波光子滤波器的归零(RZ)码到非归零(NRZ)码的码型变换方案。理论上,通过对RZ和NRZ码基带信号的频谱特点以及微波光子滤波器的特性进行分析,构建一个低通滤波器并对RZ码信号进行滤波,强烈抑制RZ码信号中的时钟分量,最终实现RZ到NRZ码的码型转换。在实验中,采用一种两抽头加色散延时的微波光子滤波器对速率为10Gbit/s的RZ码信号进行了处理,成功得到了转换后的NRZ码信号。信号波形和频谱均显示了此方案的良好性能。通过简单地调节微波光子滤波器的部分参数,可对任意速率的信号进行处理,具有很好的灵活性。  相似文献   

7.
全光码型转换技术是实现未来全光网络的关键技术之一,为此提出了一种利用半导体光放大器(SOA)和光带通滤波器(OBPF)的归零(RZ)码到非归零(NRZ)码的信号转换方案。利用通信软件数值模拟了基于40 Gb/s的码型转换,仿真实现了稳定的不同占空比的RZ码到NRZ码的码型转换以及波长转换。  相似文献   

8.
归零码和非归零码传输系统模   总被引:1,自引:1,他引:0  
对单信道40Gbit/s归零码(RZ)和非归零码(NRZ)传输系统进行了实际模拟。考虑了光纤损耗,二阶群速率色散、三阶群速度色散、偏振模色散及放大器噪声对系统影响,采用Q值判别法计算了系统可传输的最大距离,给出了系统眼图。计算结果表明,RZ的最大传输距离大于NRZ码,RZ系统传输性能优于NRZ系统。  相似文献   

9.
提出一种基于偏振延时干涉仪(PDI)的非归零(NRZ)码到归零(RZ)码的全光码型转换方案。理论推导光信号经过PDI时光场的演变过程,分析了码型转换的原理。数值仿真实现了10Gb/s速率下NRZ到RZ码的转换,通过比较得出转换后的RZ码具有更高的接收灵敏度。改变双折射介质的差分群时延可以得到不同占空比的RZ信号输出。  相似文献   

10.
利用周期极化反转铌酸锂(PPLN)光波导中和频(SFG)、级联倍频(SHG)和差频(DFG)、级联和频与差频等二阶和级联二阶非线性效应,提出并理论研究了基于单个PPLN光波导实现40 Gbit/s全光半加器、半减器、与门、或门、异或门等多种逻辑功能.提出并理论研究了基于PPLN光波导环形镜结构实现非归零码(NRZ)到归零码(RZ)的全光码型转换.实验验证了10 Gbit/s和20 Gbit/s基于PPLN光波导NRZ到RZ的全光码型转换.  相似文献   

11.
In this paper, we have analyzed the performance and feasibility of a hybrid wavelength division multiplexing/time division multiplexing passive optical network (WDM/TDM) PON system with 128 optical networks units (ONUs). In the proposed network, the triple play services (video, voice and data) are successfully transmitted to a distance of 28 km to all ONUs. In addition, we investigate and compare the proposed hybrid PON for suitability of various modulation formats for different distance. It has been observed that the most suitable data format for hybrid PON network is NRZ Rectangular.  相似文献   

12.
We propose an all-optical return-to-zero (RZ) to nonreturn-to-zero (NRZ) format converter utilizing a microfiber knot resonator and an optical bandpass filter. The operation principle is numerically simulated and analyzed. By adjusting the diameter of microfiber knot, the format conversion from RZ to NRZ is successfully demonstrated at different bit rates. The bit-error-rate measurements show the good performance of the proposed format converter.   相似文献   

13.
霍力  董毅  娄采云  高以智 《电子学报》2002,30(9):1305-1307
本文所研究的光电振荡器(OEO)是一种高速光电混合环路,其振荡频率可以被锁定于外界信号的数据率,本文利用OEO首次实现10Gbit/s的非归零码(NRZ)时钟提取,获得了时间抖动小于0.4ps的时钟信号,测得OEO的注入锁定频率范围可达800kHz。实验中发现OEO中调制器的偏置电压对OEO的注入锁定范围有很大影响。合理控制OEO的工作条件,在进行时钟提取的同时,还可以实现NRZ码到RZ(归零)码的码型转化。将转换后的RZ码进行了160km传输,结果证明这种码型适合传输,该实验说明OEO可以用作不同码型光网络中间的码型转化节点。  相似文献   

14.
Optically preamplified receiver performance according to the vestigial sideband (VSB) filtering has been numerically investigated for 40-Gb/s optical signals modulated with nonreturn-to-zero, duobinary nonreturn-to-zero (NRZ), return-to-zero (RZ), carrier-suppressed RZ, and duobinary carrier-suppressed RZ formats. The VSB filtering enables the spectral widths of NRZ, duobinary NRZ, and RZ signals to be reduced without severe power penalties at the receiver. On the other hand, carrier-suppressed RZ and duobinary carrier-suppressed RZ signals have no large advantages over VSB filtering because of the characteristics of their signals. Our results suggest that RZ signals are the most suitable modulation format for VSB filtering, without considering the filter loss, because of the tolerance of the intersymbol interference and a large spectral width. However, duobinary NRZ signals are the most suitable modulation format for VSB filtering, considering the filter loss, because of their narrow spectral width.  相似文献   

15.
An all-optical format conversion from non-return-to-zero(NRZ) to return-to-zero(RZ) is presented based on cross-phase modulation(XPM) in a silicon waveguide with a detuned optical bandpass filter(OBPF).The simulation results show that the tunable bandwidth of the OBPF leads to RZ signals with tunable pulse width.The conversion efficiency(CE) and the pattern effect of the RZ signal are attributed to the parameters of the pump pulse and the OBPF.The converted RZ signal exhibits lower timing jitter than the NRZ signal.  相似文献   

16.
利用TOAD实现10 Gbit/s全光非归零码到归零码的转换   总被引:2,自引:4,他引:2  
利用从非归零(NRZ)信号中全光提取的时钟,采用太赫兹光非对称解复用器(TOAD)实现了10 Gbit/s非归零码到归零(RZ)码的码型转换。非归零信号采用半导体光放大器(SOA)进行时钟分量增强并用平面波导阵列(AWG)滤出相应的伪归零(PRZ)信号,然后采用半导体光放大器注入锁模光纤环形激光器进行时钟提取,提取的时钟信号和待转换的非归零信号分别作为抽运光和探测光输入太赫兹光非对称解复用器,在其中进行码型转换。转换后输出的归零信号的质量仅由恢复的时钟信号和非归零信号的质量决定,受太赫兹光非对称解复用器中半导体光放大器增益恢复时间的影响极小。实验测得转换后的归零信号消光比为8.7dB,码型效应非常低,其光谱明显展宽.并且出现谱间隔为0.08nm的多峰结构,与10 Gbit/s的比特速率相对应。该方法对时钟信号的码型效应有一定的容忍度。  相似文献   

17.
Taga  H. Suzuki  M. Namihira  Y. 《Electronics letters》1998,34(22):2098-2100
The authors have evaluated the performance of an optical receiver with polarisation mode dispersion (PMD) for non-return-to-zero (NRZ) and return-to-zero (RZ) signals at 10 Gbit/s, and found that the RZ format is more tolerant to PMD than is the NRZ format  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号