首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 437 毫秒
1.
带跳周期模式的高效升压DC/DC变换器   总被引:1,自引:1,他引:0  
基于UMC0.6μmBCD工艺设计了一种峰值电流模式的PWM控制升压DC/DC变换器,通过引入跳周期模式实现轻负载下变换器的高效率。仿真结果显示,引入跳周期模式的DC/DC变换器在轻载下(负载电流小于5mA)效率仍达到45%以上。  相似文献   

2.
270W移相全桥ZVSZCS变换器的设计   总被引:1,自引:0,他引:1  
基于文献[1]中变换器的工作原理,设计了一台270W移相控制零电压、零电流软开关电源,给出了主电路的设计过程。实验结果证明该电源的超前臂能在1/5负载以上范围内实现零电压开关,滞后臂能在任意负载下实现零电流开关,最后给出了实验波形。  相似文献   

3.
宽输入全桥Buck-Boost变换器控制策略研究   总被引:1,自引:0,他引:1  
针对全桥Buck-Boost(FBBB)变换器在宽输入电压范围内高散热需求、低效率、可靠性差等问题,提出了一种宽输入FBBB变换器多模式控制策略。该策略根据输入电压与输出电压的关系确定变换器的工作模式,采用平均电流控制与双沿调制、移相控制相结合的方式,实现FBBB变换器在降压、升压和升降压模式之间平滑过渡,变换器工作在有效占空比区间,对电感电流进行有效控制,确保变换器安全可靠工作。使用Type-Ⅲ型补偿网络来改善控制环路,实现高精度输出和快速动态响应。最后通过搭建仿真模型,验证了其正确性和有效性。  相似文献   

4.
基于新型次级箝位ZVZCS-PWM变换器的工作原理,研制出一台1千瓦移相控制零电压、零电流软开关电源的工程样机,给出了其主电路的设计过程及电路主要参数设计及主要器件选择。并在实验样机上测量出实际运行时的波形及变换器效率。实验结果证明该变换器拓扑能在1/3负载以上范围内实现超前桥臂的零电压开关,在任意负载下实现滞后桥臂的零电流开关;在很宽的负载范围内都具有高效率。  相似文献   

5.
《电子技术应用》2016,(8):162-165
针对电源轻载效率低的问题,提出了一种数字(DSP)集成控制方法。该方法同时控制PFC级直流输出母线电压和全桥部分的开关时序,轻载时,通过调整母线电压并降低开关频率来减小开关损耗和环路电流损耗。实验结果表明,该变换器在10%额定负载下效率能达到90%,在5%额定负载下效率仍高于80%。  相似文献   

6.
移相全桥变换器移相PWM信号的产生方式主要有模拟电路控制和数字电路控制两种.首先分析了数字控制与模拟控制对系统整体性能的影响;然后简要介绍了移相全桥DC/DC变换器PWM信号的特点,并提出了以XMC4500为基础的数字控制方案的硬件设计和双闭环控制流程;最后详细介绍了数字控制的具体实现过程,并通过样机试验证明了数字化控制的可行性.  相似文献   

7.
针对电动汽车车载充电器后级移相全桥DC/DC变换器拓扑所存在的技术不足,论文首先介绍了一种改进的移相全桥变换器拓扑,分析变换器工作于电流断续模式(Discontinuous Current Mode,DCM)的基本原理,研究变换器在2 kW工况下的关键元器件参数设计,再进而提出采用开关元件平均模型法建立工作于DCM的改进移相全桥变换器的理想小信号模型,且应用扫频分析证实改进移相全桥拓扑结构DC/DC变换器建模方法及所建模型的合理性。  相似文献   

8.
为了使PWM变换器能够实时精准控制输入电流,在能馈型电子负载的实际应用中,针对前级电流跟踪控制中采用的双抛物线电流控制算法,分析了引起双抛物线幅值波动的不同因素,进而影响电流的跟踪效果,提出了一种基于观测补偿的幅值可变的双抛物线电流控制方法.本文采用了牛顿迭代法实现对下一周期值的观测估计,并且通过周期和幅值的关系来确定反馈值,对下一时刻的幅值进行补偿.最后本文通过仿真验证了该方法的有效性,实现了周期恒定的电流实时跟踪.  相似文献   

9.
分析了移相控制全桥(FB)ZVS-PWM变换器改进型拓扑电路的工作情况,给出了以DSP为控制核心的驱动信号产生方法,通过对输出电压信号和变压器原边电流反馈信号的采样,完成全桥移相变换器电压和电流的双闭环控制.实验验证了前、后桥臂开关管通过利用电感电容的谐振实现零电压开关,减少了在两桥臂之间实现ZVS的差别,限制了占空比的损失,消除了二极管的反向恢复时间.该变换器全负载下效率达93.5%,具有一定实用的价值.  相似文献   

10.
本文介绍了开关电源的电流型PWM控制技术的原理及特点,并和传统的电压型控制作了比较。针对移相全桥变换器,采用UC3875设计了电流控制电路。  相似文献   

11.
为解决在纳米级分辨力激光外差干涉测量中,由于倍频计数限制引起的在大量程条件下测量分辨力难以提高的难题,提出一种新颖的基于锁相环倍频和相位解调技术相结合的整数、小数结合计数式检测方法。该方法采用数字电路式对顶、错位脉冲消除预处理法得到外差信号的整数相位,再采用高分辨力的鉴相方法获得小数相位,并实现整数、小数相位的正确结合。实验和分析结果表明:采用该方法的激光外差干涉测量系统,动态测量分辨力达到10 nm,与HP5528在1.2m的测量范围内比对测量结果的差值小于0.09μm,静态测量相位分辨力为0.011°,对应的静态测量分辨力优于0.1 nm。应用提出的测量方法,为大范围高分辨力的动态位移测量提供一种有效的技术途径,同时,提高了激光外差干涉系统的测量分辨力。  相似文献   

12.
一种光纤三相电流传感器的设计   总被引:1,自引:0,他引:1  
提出一种只用三个传感头、一套光路、一套信号处理部分实现对高压三相电流同时检测的混合式光纤传感系统 ,给出了其在一相实验中的实验结果。由于光路系统采用了基于相位压缩原理的微分干涉式结构 ,因此该系统不受外界缓变量 (如温度 )的影响 ;与一般干涉仪相比该系统也因相位压缩而使工作的线性范围扩大了 2 2 0倍  相似文献   

13.
Both theoretical and experimental studies were made on electric birefringence effect of nematic liquid crystals in isotropic phase with respect to its applicability to novel LCDs. It was confirmed that response times are <1 ms, and a critical point exists where electro‐optic hysteresis vanishes. Substrate surface treatments were also found to improve the electro‐optic characteristics. The results are promising for both projection and direct view display applications featuring fast switching and high image quality.  相似文献   

14.
棉麻纤维的微细结构在普通光学显微镜下不易被观察到,针对此问题,分析了螺旋相位滤波增强图像细节的机理,并据此设计出32阶阶梯型螺旋相位模板。利用Matlab创建一个大小为1024×768的螺旋阶梯型灰度矩阵图像,并将其加载到纯相位液晶空间光调制器(LCOS)上,然后建立纤维成像实验系统,经LCOS相位调制后,获得振幅和相位梯度调制后的纤维图像。通过该方法获得的纤维图像纹理结构和边缘信息明显、对比度较高,可显著提高检测准确度和效率。  相似文献   

15.
数字调相信号识别研究与实现   总被引:2,自引:2,他引:0       下载免费PDF全文
张玉  杨晓静 《计算机工程》2008,34(21):89-91,1
通过提取数字调相信号的特征,实现对不同数字调相信号的识别。由于已调信号的初相反映了不同调相信号的特征,提出从瞬时相位中提取初相参数实现数字调相信号识别的基本方法,用去折叠相位直方图和玫瑰图,识别BPSK, QPSK/OQPSK, UQPSK这4种数字调相信号,并用Matlab进行仿真,结果表明2种方法均能实现BPSK, QPSK/OQPSK, UQPSK识别,且玫瑰图法是一种更为有效的识别方法。采用统计相邻码元的相位值的方法进一步区分QPSK和OQPSK。  相似文献   

16.
基于鉴相技术的高精度无线定位方法   总被引:1,自引:0,他引:1  
介绍了利用鉴相器AD9901区分输入鉴相器的两列波的相位差,这种方法是在机车轨道两端各安装一个无线电发射机,当机车在轨道上行驶时,装在机车上的无线电接收机对接收到的两个无线电波进行相位比较,根据相位差来进行精确定位的一种新方法,理论上证实了利用鉴相器进行无线精确定位的可行性,在具体的实现方法上有一定的创新性。  相似文献   

17.
变频调速技术是近年来发展起来的一种新兴技术,随着电力电子器件,计算机技术的发展,交流变频调速已取得了巨大的进步。同时,交流变频调速器作为一个新兴的产业正处在蓬勃发展之中,各种通用性变频器与高性能变频器的产品层出不穷。变频器的功能越来越丰富,保护越来越可靠。本文提出了变频器中的缺相保护的一种软件的实现方法,此方法已在所开发的系列产品中得到了应用,实验证明具有良好的可靠性与可信度。  相似文献   

18.
图像特征检测算法的分析与研究   总被引:1,自引:2,他引:1       下载免费PDF全文
从利用图像信息的角度,系统分析了图像特征检测问题的研究文献,将图像特征检测方法分为两大类,即基于梯度信息的方法和基于相位信息的方法。论述了每类方法的特点,并对主要的特征检测方法进行详细而全面的论述。指出了目前研究中存在的主要问题以及今后的研究方向。  相似文献   

19.
Compiler optimization phase ordering is a fundamental, pervasive, and long‐standing problem for optimizing compilers. This problem is caused by interacting optimization phases producing different codes when applied in different orders. Producing the best phase ordering code is very important in performance‐oriented and cost‐constrained domains, such as embedded systems. In this work, we analyze the causes of the phase ordering problem in our compiler, Very Portable Optimizer (VPO), and report our observations. We devise new techniques to eliminate, what we call, false phase interactions in our compiler. We find that reducing such false phase interactions significantly prunes the phase order search space. We also develop and study algorithms to find the best average performance that can be delivered by a single phase sequence over our benchmark set and discuss the challenges in resolving this important problem. Our results show that there is no single sequence in VPO that can achieve the optimal phase ordering performance across all functions. Copyright © 2013 John Wiley & Sons, Ltd.  相似文献   

20.
环路滤波器是锁相环中的一个关键模块,一般采用有源和无源两种。本文对无源滤波器的结构以及滤波器对锁相环性能的影响进行了分析,总结了无源二阶的设计方法,然后针对三阶无源滤波器进行了设计,通过仿真可以看出结果满足了设计指标。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号