首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
We review the recent progress of Cat-CVD research in Japan since the 1st Cat-CVD conference in Kanazawa in 2000. Some groups, including ours, succeeded in realizing large-area deposition of amorphous silicon (a-Si) of approximately 1 m size, and thin film transistors (TFTs) with a mobility over several 10s of cm2 V−1 s−1 are fabricated using Cat-CVD polycrystalline silicon (poly-Si) films. Extensive studies of in situ cleaning methods revealed that a high rate of chamber cleaning is possible in Cat-CVD systems. Solar cell research is now carried out within the New Energy and Industrial Technology Development Organization (NEDO) project, and the study of Cat-CVD Si3N4 films prepared at lower than 100 °C is now a Japan Science and Technology Corporation (JST) project to use them as coatings on organic devices. The feasibility of Cat-CVD for various applications has been widely demonstrated, along with further understanding of the fundamental mechanism of the Cat-CVD process.  相似文献   

2.
Coverage properties of silicon nitride film prepared by the Cat-CVD method   总被引:2,自引:0,他引:2  
The coverage properties of silicon nitride (Si3N4) films prepared by the catalytic chemical vapor deposition (Cat-CVD) technique were systematically studied. By increasing the catalyzer–substrate distance, the coverage was improved from 46 to 67% on a 1.0-μm line and space pattern. The etching rate of Cat-CVD Si3N4 film measured using 16BHF solution was independent of the deposited position of the micro-patterns deposited, and was approximately 3 nm/min, one order of magnitude lower than that of plasma-enhanced CVD (PE-CVD) Si3N4 film. This means that Cat-CVD Si3N4 films are denser than PE-CVD Si3N4 films, and that the quality at the side wall is equivalent to that on the top surface. That is, Cat-CVD Si3N4 films show a passivation effect, which was excellent, even at the side wall of micro-patterns. These results suggest that Si3N4 films prepared by Cat-CVD are suitable for the passivation films in microelectronic devices having a step configuration, such as TFT-LCDs and ULSIs.  相似文献   

3.
K. Saito  Y. Uchiyama  K. Abe 《Thin solid films》2003,430(1-2):287-291
Using the catalytic chemical vapor deposition (Cat-CVD) method, a-Si and SiNx films have been the main focus of studies. SiO2 films have not been studied because of the limited life of catalysts such as tungsten or molybdenum in an oxidative atmosphere. In this report, we describe oxide film preparation using an iridium catalyst. We determined the most appropriate catalyst material for the oxide film process by exposing heated materials in tetraethoxysilane (TEOS) or O2 gas. As the result, it was confirmed that the Ir catalyst works in a slow oxidative atmosphere. Using the Ir catalyst, SiO2 films were deposited in two gas combinations: TEOS and N2O, and SiH4 and N2O. Although the SiO2 film processed with the combination of TEOS and N2O was stoichiometric, its breakdown voltage is not sufficient. The SiO2 film processed with the combination of SiH4 and N2O showed good electrical property.  相似文献   

4.
We propose a new approach to fabrication of hydrogenated amorphous silicon carbide (a-Si1−xCx:H) thin films for solar cells by the catalytic chemical vapor deposition (Cat-CVD) method using a carbon catalyzer, which is more stable than tungsten or tantalum. It was found that by using the carbon catalyzer, undoped and boron-doped a-Si1−xCx:H films were easily obtained from a SiH4, CH4 and B2H6 mixture without any change in the catalyzer surface, even after deposition for longer than 30 h.  相似文献   

5.
We have found that SiN passivation by catalytic chemical vapor deposition (Cat-CVD) can significantly increase an electron density of an AlGaN/GaN heterostructure field-effect transistor (HFET). This effect enables thin-barrier HFET structures to have a high-density two-dimensional electron gas and leads to suppression of short-channel effects. We fabricated 30-nm-gate Al0.4Ga0.6N(8 nm)/GaN HFETs using Cat-CVD SiN. The maximum drain current density and extrinsic transconductance were 1.49 A/mm and 402 mS/mm, respectively. Current-gain cutoff frequency and maximum oscillation frequency of the HFETs were 181 and 186 GHz, respectively. These high-frequency device characteristics are sufficiently high enough for millimeter-wave applications.  相似文献   

6.
Super-hydrophobic poly-tetrafluoroethylene (PTFE) films, with a water contact angle of over 160°, are formed by catalytic chemical vapor deposition (Cat-CVD) under high catalyzer temperature or pressure. Hydrophobicity of the PTFE films is maintained even after annealing up to 300 °C. We demonstrate a novel method for forming metal lines using super-hydrophobic PTFE films. Water-based functional liquid containing silver nanoparticles dropped on the patterned PTFE film localizes only on hydrophilic regions, resulting in formation of metal lines after annealing up to 150 °C.  相似文献   

7.
The structural properties of microcrystalline Si films prepared by hot-wire/catalytic chemical vapor deposition, with various dilution ratios of silane in hydrogen, were investigated as regards to the role of hydrogen. A large surface roughness correlated with a low crystalline nuclei density was observed for microcrystalline Si films deposited near the transition from amorphous to microcrystalline growth. Investigations of hydrogen-related properties suggest the presence of molecular hydrogen in these films. We tentatively propose that the diffusion of atomic hydrogen into the subsurface layer of growing films, which leads to the relaxation of amorphous Si network and to the generation of molecular hydrogen, plays an important role for determining the film properties, besides top surface reactions.  相似文献   

8.
Advantage of plasma-less deposition in catalytic chemical vapor deposition (Cat-CVD) is demonstrated in performance of amorphous-silicon (a-Si) thin-film transistors (TFTs), by comparing with a-Si TFTs fabricated by plasma-enhanced CVD (PECVD). Cat-CVD a-Si TFTs show 2 or 3 orders of magnitude lower off-current than PECVD ones. Exposure of Cat-CVD TFTs to an argon or a hydrogen plasma severely increases their off-current, while the off-current recovers by chemically etching the plasma-damaged surface layer. It is concluded that PECVD damages the a-Si surface to a depth of several tens of nm, whereas Cat-CVD induces no serious damage to the film surface and therefore induces no deterioration of electrical properties.  相似文献   

9.
The future prospect of remote Cat-CVD, in which the decomposition and the deposition chambers are separated, is discussed on the basis of the absolute density measurements of H atoms. It is now well recognized that uniform deposition is possible on a large area without plasma damages by Cat-CVD. However, we may not overlook the demerits in Cat-CVD. One of the demerits is the poisoning of the catalyzer surfaces by the material gases, both temporary and permanent. One technique to overcome this problem is remote Cat-CVD. The question is how to separate the decomposition and deposition areas. If the separation is not enough, there should be back diffusion of the material gases, which will poison the catalyzers. If the separation is too tight, radicals may not effuse out from the decomposition chamber. These problems are discussed and it is shown that SiO2 coating to reduce the radical recombination rates on walls is promising. The possibility of the polytetrafluoroethene coating by Cat-CVD is also discussed.  相似文献   

10.
We have demonstrated that the surface recombination velocity can be lowered to as low as 1.3 cm/s for n-type c-Si wafers and to 9.0 cm/s for p-type wafers by using amorphous Si (a-Si) and Si nitride (SiNx) stacked films prepared by catalytic chemical vapor deposition (Cat-CVD). These values are much lower than those of c-Si wafers passivated by same stacked structures formed by low-damage remote plasma-enhanced CVD (PECVD). It is revealed that Cat-CVD a-Si insertion layers play an important role to improve interface quality, and also SiNx films are also essential for reducing the surface recombination velocity down to such low levels.  相似文献   

11.
We investigate the characteristics of amorphous silicon thin film transistors (a-Si TFTs) fabricated by plasma-enhanced chemical vapor deposition (PECVD) and catalytic CVD (Cat-CVD), and their stability under bias and temperature (BT) accelerated stress. The Cat-CVD a-Si TFTs have off-leak current as small as 10− 14 A, and a smaller threshold voltage shift under the BT stress. The superiority in off-leak current and stability is observed in the Cat-CVD a-Si TFTs fabricated at both 320 °C and 180 °C. The high performance and stability of the Cat-CVD a-Si TFTs will enable to use low-cost glass substrates and result in a cost reduction of TFT fabrication.  相似文献   

12.
This paper reports the feasibility for industrial implementation of Cat-CVD (Hot-Wire CVD) technology. Some application examples are shown, and their features and problems are described. Cat-CVD is a unique technology which has a lot of possibilities. Some of them will be commercialized in the near future, but the others need a breakthrough for mass production.  相似文献   

13.
Growth of tungsten silicide (WSix) on tungsten (W) catalyzer surface is investigated by monitoring resistance change of heated W wire in silane (SiH4) atmosphere. To know a method suppressing the silicide formation, the effect of carbonization of W surface is also studied. Resistance change of heated W, observed in initial stage just after SiH4 introduction, is brought about increase in power consumption due to decomposition of SiH4. This power consumption can be drastically reduced when W surface is carbonized. Therefore, carbonization of tungsten surface is effective to stabilize the catalyzer temperature and to suppress W silicidation.  相似文献   

14.
Yohei Ogawa 《Thin solid films》2008,516(5):611-614
Silicon oxynitride (SiOxNy) films have been formed by adding proper amount of oxygen gas to usual forming condition of silicon nitride (SiNx) films in catalytic chemical vapor deposition (Cat-CVD) method. The composition and refractive index of the film can be systematically controlled by changing oxygen flow rate. Organic light-emitting diodes (OLEDs) covered with SiNx/SiOxNy stacked films have been completely protected from damage due to oxygen and moisture and their initial emission intensity is maintained over 1000 hours under 60 °C and 90% RH, which is equivalent to 50 000 hours in normal temperature and humidity conditions.  相似文献   

15.
We demonstrate the excellent performance of a 140 W AlGaN/GaN HEMT in the C-band, which is passivated by a Cat-CVD SiN film. The interface trap density of the AlGaN surface passivated by Cat-CVD film after NH3 treatment is 3 × 1012 cm− 2, which is the smallest of investigated deposition techniques. The lowest interface trap density achieved by the Cat-CVD technique makes it possible to operate the AlGaN/GaN HEMT in the C-band. We clarify that the Cat-CVD technique is necessary for developing future amplifiers.  相似文献   

16.
Hydrogenated amorphous carbon (a-C:H) and nitrided amorphous carbon (a-C:N) films have been synthesized on quartz substrates at a substrate temperature of 700 °C using a catalytic chemical vapor deposition (Cat-CVD) method. Raman spectra of a-C:H films showed two principal bands, the G-band at 1600 cm−1 and the D-band at 1350 cm−1. Those of a-C:N films showed similar spectra, with a G′ band at 1640 cm−1, the peak energy of which is higher than that of the G-band in a-C:H. The intensity ratio /ID, which is a measure of the degree of order in a-C:H, decreased for a-C:H with increasing CH4/H2 gas-flow ratio. On the contrary, the /ID ratio increased with increasing CH4/H2 gas-flow ratio.  相似文献   

17.
This study is aimed at improving the characteristics of silicon nitride (SiNx) film deposited by catalytic chemical vapor deposition (Cat-CVD) method. Cat-CVD method can deposit SiNx films that have low hydrogen content and high density at low temperature without any plasma damage to substrates. Usually silane (SiH4) and ammonia (NH3) are used for source gases. Then adding hydrogen (H2) gas to source gases makes characteristics of Cat-CVD SiNx improved. When using H2 gas, hydrogen content in SiNx film becomes lower and electronic reliability becomes higher.  相似文献   

18.
Crystallization by excimer-laser annealing (ELA) for hydrogenated amorphous silicon (a-Si:H) films with low hydrogen content (CH) prepared by catalytic chemical vapor deposition (Cat-CVD) was systematically studied. From optical microscopy images, no hydrogen bubbling was observed during ELA, even without a dehydrogenation process. As the laser energy density was increased to 300 mJ cm−2, the full width at half-maximum of the Raman signal from the crystalline phase decreased to approximately 4 cm−1. This value is almost equal to or even smaller than that reported for polycrystalline Si (poly-Si) films prepared from plasma-enhanced CVD (PECVD) a-Si:H films by ELA so far. The average grain size, estimated from scanning electron microscopy, was approximately 500 nm for CH of 1.3 at.%. On the other hand, the grain size of poly-Si films prepared from PECVD a-Si:H films with a dehydrogenation process was only 200 nm. The technique using Cat-CVD films is expected to be used for fabrication of low-temperature high-mobility thin-film transistors.  相似文献   

19.
In this paper, bulk-Si metal–oxide–semiconductor field effect transistors (MOSFETs) are fabricated using the catalytic chemical vapor deposition (Cat-CVD) method as an alternative technology to the conventional high-temperature thermal chemical vapor deposition. Particularly, formation of low-resistivity phosphorus (P)-doped poly-Si films is attempted by using Cat-CVD-deposited amorphous silicon (a-Si) films and successive rapid thermal annealing (RTA) of them. Even after RTA processes, neither peeling nor bubbling are observed, since hydrogen contents in Cat-CVD a-Si films can be as low as 1.1%. Both the crystallization and low resistivity of 0.004 Ω·cm are realized by RTA at 1000 °C for only 5 s. It is also revealed that Cat-CVD SiNx films prepared at 250 °C show excellent oxidation resistance, when the thickness of films is larger than approximately 10 nm for wet O2 oxidation at 1100 °C. It is found that the thickness required to stop oxygen penetration is equivalent to that for thermal CVD SiNx prepared at 750 °C. Finally, complementary MOSFETs (CMOSs) of single-crystalline Si were fabricated by using Cat-CVD poly-Si for gate electrodes and SiNx films for masks of local oxidation of silicon (LOCOS). At 3.3 V operation, less than 1.0 pA μm−1 of OFF leakage current and ON/OFF ratio of 107–108 are realized, i.e. the devices can operate similarly to conventional thermal CVD process.  相似文献   

20.
A Roll-to-roll type catalytic chemical vapor deposition (Cat-CVD) apparatus was developed for the application to flexible organic light-emitting diode (OLED) displays and packaging. Silicon nitride (SiNx) films were prepared by this roll-to-roll type apparatus at temperatures below 60 °C. It was found that these SiNx films are highly moisture resistant, and the water vapor transmission rate (WVTR) on plastic substrates could be lowered to 0.01 g/m2 day. Roll-to-roll type Cat-CVD is one of the most promising methods for the preparation of barrier films for OLED displays and packaging.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号