首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
The lattice and grain boundary diffusion coefficients of As in 260 nm-thick Ni2Si films were measured. The Ni2Si layers were prepared via the reaction between a Si layer deposited by low pressure chemical vapor deposition and a Ni layer deposited by magnetron sputtering on a Si substrate covered with a SiO2 film. As was implanted in the silicide. Its concentration profiles were measured using secondary ion mass spectroscopy before and after annealing (550-700 °C). 2D finite element diffusion simulations taking into account lattice diffusion and grain boundary (GB) diffusion were performed based on the microstructure of the samples. They were found to fit accurately the measured profiles and allowed to measure the diffusion coefficients for each temperature. Lattice diffusion is characterized by a pre-exponential factor D0v ∼ 1.5 × 10−1 cm2 s−1 and an activation energy Qv ∼ 2.72 eV. In the case of GB diffusion P0 = sδD0gb = 9.0 × 10−3 cm3 s−1 and the activation energy was found to be higher than for lattice diffusion with Qgb ∼ 3.07 eV. Existing data concerning diffusion in silicides and other materials is used to discuss these results. The diffusion of As in Ni2Si could be reduced due to impurity segregation in GBs.  相似文献   

2.
The structural and electrical properties of SrTa2O6(SrTaO)/n-In0.53GaAs0.47(InGaAs)/InP structures where the SrTaO was grown by atomic vapor deposition, were investigated. Transmission electron microscopy revealed a uniform, amorphous SrTaO film having an atomically flat interface with the InGaAs substrate with a SrTaO film thickness of 11.2 nm. The amorphous SrTaO films (11.2 nm) exhibit a dielectric constant of ∼20, and a breakdown field of >8 MV/cm. A capacitance equivalent thickness of ∼1 nm is obtained for a SrTaO thickness of 3.4 nm, demonstrating the scaling potential of the SrTaO/InGaAs MOS system. Thinner SrTaO films (3.4 nm) exhibited increased non-uniformity in thickness. From the capacitance-voltage response of the SrTaO (3.4 nm)/n-InGaAs/InP structure, prior to any post deposition annealing, a peak interface state density of ∼2.3 × 1013 cm−2 eV−1 is obtained located at ∼0.28 eV (±0.05 eV) above the valence band energy (Ev) and the integrated interface state density in range Ev + 0.2 to Ev + 0.7 eV is 6.8 × 1012 cm−2. The peak energy position (0.28 ± 0.05 eV) and the energy distribution of the interface states are similar to other high-k layers on InGaAs, such as Al2O3 and LaAlO3, providing further evidence that the interface defects in the high-k/InGaAs system are intrinsic defects related to the InGaAs surface.  相似文献   

3.
ZrO2 thin films were deposited by the atomic layer deposition process on Si substrates using tetrakis(N,N′-dimethylacetamidinate) zirconium (Zr-AMD) as a Zr precursor and H2O as an oxidizing agent. Tetrakis (ethylmethylamino) zirconium (TEMA-Zr) was also evaluated for a comparative study. Physical properties of ALD-derived ZrO2 thin films were studied using ellipsometry, grazing incidence XRD (GI-XRD), high resolution TEM (HRTEM), and atomic force microscopy (AFM). The ZrO2 deposited using Zr-AMD showed a better thermal stability at high substrate temperature (>300 °C) compared to that using TEMA-Zr. GI-XRD analysis reveals that after 700 °C anneal both ZrO2 films enter tetragonal phase. The electrical properties of N2-annealed ZrO2 film using Zr-AMD exhibit an EOT of 1.2 nm with leakage current density as low as 2 × 10−3 A/cm2 (@Vfb−1 V). The new Zr amidinate is a promising ALD precursor for high-k dielectric applications.  相似文献   

4.
The silicide formation and the redistribution of Pt after deposition and after a heat treatment at 290 °C of Ni1−xPtx films on Si have been analysed by atom probe tomography assisted by femtosecond laser pulses. Two phases with different composition were found to form during deposition at room temperature: a NiSi layer with a relatively constant thickness of approximately 2 nm and a particle of Ni2Si. The shape of the Ni2Si particle is in accordance with nucleation followed by lateral growth formation. After heat treatment, two silicide phases Ni2Si and NiSi were found together with the Ni1−xPtx solid solution. The redistribution of Pt at the Ni1−xPtx/Ni2Si interface is a clear illustration of the snowplow effect. A segregation of Pt at the Ni2Si/NiSi interface has been observed and is attributed to interfacial segregation. The effect of the redistribution of Pt on the silicide formation is discussed.  相似文献   

5.
(Pb1 − xLax)Ti1 − x/4O3(x = 28 mol%, denoted as PLT) thin films were grown on Pt/Ti/SiO2/Si substrates by using a sol-gel process. The Pt/PLT/Pt film capacitor showed well-saturated hysteresis loops at an applied electric field of 500 kV/cm with spontaneous polarization (Ps), remanent polarization (Pr) and coercive electric field (Ec) values of 9.23 μC/cm2, 0.53 μC/cm2 and 19.7 kV/cm, respectively. At 100 kHz, the dielectric constant and dissipation factor of the film were 748 and 0.026, respectively. The leakage current density is lower than 1.0 × 10−7 A/cm2over the electric field range of 0 to 200 kV/cm. And the Pt/PLT interface exist a Schottky emission characteristics.  相似文献   

6.
We investigated the phase formation and texture of nickel silicides formed during the reaction of 10 nm sputter deposited nickel with Si1−xCx epitaxial layers on Si(1 0 0) substrates, having a carbon content between 0 and 2.5 atomic percent. It was found that both the formation temperature as well as the texture of the metal-rich phases is influenced by the amount of carbon in the Si1−xCx layer. To determine the influence of the location of the carbon during the silicidation process we also investigated the reaction of 10 nm nickel on Si(1 0 0) substrates, where carbon was either alloyed in the nickel layer or deposited as an interlayer at the interface between the nickel and the substrate. Depending on the location of the carbon, a different thermal stability of the layer was found.  相似文献   

7.
Electrical properties and thermal stability of LaHfOx nano-laminate films deposited on Si substrates by atomic layer deposition (ALD) have been investigated for future high-κ gate dielectric applications. A novel La precursor, tris(N,N′-diisopropylformamidinato) lanthanum [La(iPrfAMD)3], was employed in conjunction with conventional tetrakis-(ethylmethyl)amido Hf (TEMA Hf) and water (H2O). The capacitance-voltage curves of the metal oxide semiconductor capacitors (MOSCAPs) showed negligible hysteresis and frequency dispersion, indicating minimal deterioration of the interface and bulk properties. A systematic shift in the flat-band voltage (Vfb) was observed with respect to the change in structure of nano-laminate stacks as well as La2O3 to HfO2 content in the films. The EOTs obtained were in the range of ∼1.23-1.5 nm with leakage current densities of ∼1.3 × 10−8 A/cm2 to 1.3 × 10−5 A/cm2 at Vfb − 1 V. In addition, the films with a higher content of La2O3 remained amorphous up to 950 °C indicating very good thermal stability, whereas the HfO2 rich films crystallized at lower temperatures.  相似文献   

8.
In this work, we present the results of dielectric relaxation and defect generation kinetics towards reliability assessments for Zr-based high-k gate dielectrics on p-Ge (1 0 0). Zirconium tetratert butoxide (ZTB) was used as an organometallic source for the deposition of ultra thin (∼14 nm) ZrO2 films on p-Ge (1 0 0) substrates. It is observed that the presence of an ultra thin lossy GeOx interfacial layer between the deposited high-k film and the substrate, results in frequency dependent capacitance-voltage (C-V) characteristics and a high interface state density (∼1012 cm−2 eV−1). Use of nitrogen engineering to convert the lossy GeOx interfacial layer to its oxynitride is found to improve the electrical properties. Magnetic resonance studies have been performed to study the chemical nature of electrically active defects responsible for trapping and reliability concerns in high-k/Ge systems. The effect of transient response and dielectric relaxation in nitridation processes has been investigated under high voltage pulse stressing. The stress-induced trap charge density and its spatial distribution are reported. Charge trapping/detrapping of stacked layers under dynamic current stresses was studied under different fluences (−10 mA cm−2 to −50 mA cm−2). Charge trapping characteristics of MIS structures (Al/ZrO2/GeOx/Ge and Al/ZrO2/GeOxNy/Ge) have been investigated by applying pulsed unipolar (peak value - 10 V) stress having 50% duty-cycle square voltage wave (1 Hz-10 kHz) to the gate electrode.  相似文献   

9.
The Pb(Zr0.20Ti0.80)O3/(Pb1−xLax)Ti1−x/4O3 (x = 0, 0.10, 0.15, 0.20) (PZT/PLTx) multilayered thin films were in situ deposited on the Pt(1 1 1)/Ti/SiO2/Si(1 0 0) substrates by RF magnetron sputtering technique with a PbOx buffer layer. With this method, all PZT/PLTx multilayered thin films possess highly (1 0 0) orientation. The PbOx buffer layer leads to the (1 0 0) orientation of the multilayered thin films. The effect of the La content in PLTx layers on the dielectric and ferroelectric properties of the PZT multilayered thin films was systematically investigated. The enhanced dielectric and ferroelectric properties are observed in the PZT/PLTx (x = 0.15) multilayered thin films. The dielectric constant reaches maximum value of 365 at 1 KHz for x = 0.15 with a low loss tangent of 0.0301. Along with enhanced dielectric properties, the multilayered thin films also exhibit large remnant polarization value of 2Pr = 76.5 μC/cm2, and low coercive field of 2Ec = 238 KV/cm.  相似文献   

10.
Ta2O5 films with a buffer layer of silicon nitride of various thicknesses were deposited on Si substrate by reactive sputtering and submitted to annealing at 700 °C in nitrogen atmosphere. The microstructure and the electrical properties of thin films were studied. It was found that with a buffer layer of silicon nitride the electrical properties of SixNy/Ta2O5 film can be improved than Ta2O5 film. When the thickness of the buffer layer was 3 nm, the SixNy/Ta2O5 film has the highest dielectric constant of 27.4 and the lowest leakage current density of 4.61 × 10−5 A/cm2 (at −1 V). For the SixNy (3 nm)/Ta2O5 film, the conduction mechanism of leakage current was also analyzed and showed four types of conduction mechanisms at different applied voltages.  相似文献   

11.
HfTaxOy high-k dielectric layers with different compositions were deposited using ALD on 1 nm SiO2 generated by ozone based cleaning of 200 mm Si(1 0 0) surface. Physical characterization of blanket layers and C-V mapping demonstrates that the ALD layers have excellent uniformity and controllable compositions. The layers with a composition of HfTaO5.5 remain amorphous after annealing at 900 °C. The C-V measurements of MOS capacitors show no hysteresis, negligible frequency dispersion and interfacial state density smaller than 3 × 1011 (cm−2 eV−1). k-value of the amorphous layers varies in the range from 20 to 25, depending on layer composition. The flat band voltage does not shift with the increase of EOT, implying that the effect of fixed charge densities in the layers is negligible. The I-V measurements show a leakage reduction comparable to that of the ALD HfO2 layers.  相似文献   

12.
We have investigated the influence of assisted ion beam bombardment on structure and electrical properties of HfSiO dielectrics deposited on Si (1 0 0) substrate by dual-ion beam sputtering deposition (DIBSD). The X-ray photoelectron spectroscopy (XPS) analysis indicates that assisted ion beam bombardment could suppress the formation of Si clusters and partial SiO bonds. The excellent electrical properties with maximum dielectric constant (18.6) and the smaller oxide-charge density (7.2 × 1011 cm−2) and leakage current (2.8 × 10−7 A/cm2 at (Vfb−1) V) were obtained for HfSiO film by assisted ion beam bombardment at AIE = 100 eV, which provide a initial energy for the formation of film, activate the substrate surface atoms, enhance the polarization rate and improve the film surface compact and adhesion.  相似文献   

13.
Ultra thin HfAlOx high-k gate dielectric has been deposited directly on Si1−xGex by RF sputter deposition. The interfacial chemical structure and energy-band discontinuities were studied by using X-ray photoelectron spectroscopy (XPS), time of flight secondary ion mass spectroscopy (TOF-SIMS) and electrical measurements. It is found that the sputtered deposited HfAlOx gate dielectric on SiGe exhibits excellent electrical properties with low interface state density, hysteresis voltage, and frequency dispersion. The effective valence and conduction band offsets between HfAlOx (Eg = 6.2 eV) and Si1−xGex (Eg = 1.04 eV) were found to be 3.11 eV and 2.05 eV, respectively. In addition, the charge trapping properties of HfAlOx/SiGe gate stacks were characterized by constant voltage stressing (CVS).  相似文献   

14.
Si and Se implantations have been systematically investigated in In0.53Ga0.47As. Different implant doses and various activation anneals with temperatures up to 700 °C have been examined. Raising Si implant dose from 1 × 1014 to 1 × 1015 cm−2 was found to increase the active doping concentration by about a factor of two. As confirmed by Transmission Electron Microscopy (TEM) and electrical measurements, the rest of the implanted Si ions remain as defects in the crystal and degrade the mobility. It was also confirmed from Secondary Ion Mass Spectrometry (SIMS) that the Si diffusivity in InGaAs is negligible up to 700 °C implant activation anneal making Si a suitable option for the formation of shallow junctions in InGaAs. The activation efficiency, sheet resistance, carrier density and mobility data of 25 keV Se and Si implanted InGaAs layers are also presented under various activation anneal temperatures.  相似文献   

15.
High κ HfOxNy film was deposited on amorphous InGaZnO (a-IGZO) by radio-frequency reactive sputtering using an HfO2 target in nitrogen plus argon ambience, the electrical characteristics and reliability of a-IGZO metal-insulator-semiconductor (MIS) capacitors were investigated. Experimental results indicate that the nitrogen incorporation into HfO2 can produce a strong nitride interfacial barrier layer, thus lead to reducing the interface state density, suppressing the hysteresis voltage, and decreasing the gate-leakage current. Improved performance has been achieved for HfOxNy gate dielectric a-IGZO MIS capacitors, with a interface state density of 5.1 × 1011 eV−1 cm−2, a gate-leakage current density of 3.9 × 10−5 A/cm2 at Vfb + 1 V, an equivalent permittivity of 24, and a hysteresis voltage of 105 mV. Moreover, the enhanced reliability of Al/HfOxNy/a-IGZO MIS capacitor is observed with a small degradation of electrical characteristics after a high field stressing at 10 MV/cm for 3600 s.  相似文献   

16.
The paper presents the results of capacitance-voltage, conductance-frequency and current-voltage characterization in the wide temperature range (140-300 K) as well as results of low temperature (5-20 K) thermally stimulated currents (TSC) measurements of metal-oxide-semiconductor (MOS) structures with a high-κ LaSiOx dielectric deposited on p- and n-type Si(1 0 0) substrate. Interface states (Dit) distribution determined by several techniques show consistent result and demonstrates the adequacy of techniques used. Typical maxima of interface states density were found as 4.6 × 1011 eV−1cm−2 at 0.2 eV and 7.9 × 1011 eV−1cm−2 at 0.77 eV from the silicon valence band. The result of admittance spectroscopy showed the presence of local states in bandgap with activation energy Ea = 0.38 eV from silicon conductance band, which is in accord with interface states profile acquired by conductance method. Low-temperature TSC spectra show the presence of shallow traps at the interface with activation energies ranging from 15 to 32 meV. The charge carrier transport through the dielectric film was found to occur via Poole-Frenkel mechanism at forward bias.  相似文献   

17.
Highly efficient nanolaminate diffusion barriers made of TiO2/Al2O3 multilayers using low temperature atomic layer deposition optimized for organic light emitting diodes are presented. Water vapour transmission rates (WVTR) show values of the order of 10−3 g/m2/d at 38 °C, 90% RH on planarized PEN webs (pPEN) when ozone is used as the oxidizing precursor for Al2O3 deposition. OLED encapsulated with such diffusion barriers display few dark spots observed over 2000 h after deposition and for aging under ambient conditions. Diffusion barriers deposited using water as the oxidizing precursor for Al2O3 result in at least 10 times lower WVTR on pPEN webs (10−4 g/m2/d). However, these water based diffusion barriers are incompatible with OLEDs such that the latter show extensive black spot formation (areas of no visible luminescence) immediately after deposition. Finally through the growth of these initial black spots, more than 40% loss in initial luminescence occurs after merely 900 h of operation. In this report, we introduce a new methodology for OLED encapsulation using a two step process where 10 nm thick ozone Al2O3 based nanolaminate diffusion barrier is followed by a 90 nm thick water Al2O3 based diffusion barrier (keeping TiO2 precursors always the same). With this novel diffusion barrier stack, no visible black spot growth is observed over 2000 continuous operation hours under ambient conditions. Simultaneously, high OLED luminescence representing 90% of the initial luminescence value, which is measured at t = 0 is maintained after 2000 h of operation. Low WVTR values in the 10−4 g/m2/d range on pPEN webs are consistently measured in these essentially water based barrier layers with only 10 nm thick starting ozone Al2O3 based nanolaminate diffusion barriers. The results reported here have implications on developing methodologies for ultra high performance, OLED compatible diffusion barriers by ALD.  相似文献   

18.
We have investigated in situ monitoring of growth rate and refractive index by laser reflectometry during InGaAs on GaAs (0 0 1) substrate growth in atmospheric pressure metalorganic vapour-phase epitaxy (AP-MOVPE). The indium solid composition (xIns) was varied by changing the substrate temperature or the indium vapour composition (xInv). The refractive index of InGaAs alloys as a function of temperature and composition was quantified and compared which that of GaAs for 632.8 nm wavelength by simulation of experimental reflectivity responses. Composition analyses were carried out by high-resolution X-ray diffraction (HRXRD) and optical absorption (OA). The layers thicknesses were estimated by scanning electron microscopy (SEM) observations. The temperature dependence of InGaAs growth rate has been investigated in the temperature range 420-680 °C using trimethylgallium (TMGa), trimethylindium (TMIn) and arsine (AsH3) sources. It shows Arrhenius-type behaviour with an apparent activation energy Ea of 0.62 eV (14.26 kcal/mol). This value is close to that determinate in the AP-MOVPE of GaAs.  相似文献   

19.
We have used a sol-gel spin-coating process to fabricate a new metal-insulator-metal capacitor comprising 10-nm thick binary hafnium-zirconium-oxide (HfxZr1−xO2) film on a flexible polyimide (PI) substrate. The surface morphology of this HfxZr1−xO2 film was investigated using atomic force microscopy and scanning electron microscopy, which confirmed that continuous and crack-free film growth had occurred on the PI. After oxygen plasma pre-treatment and subsequent annealing at 250 °C, the film on the PI substrate exhibited a low leakage current density of 3.22 × 10−8 A/cm2 at −10 V and maximum capacitance densities of 10.36 fF/μm2 at 10 kHz and 9.42 fF/μm2 at 1 MHz. The as-deposited sol-gel film was oxidized when employing oxygen plasma at a relatively low temperature (∼250 °C), thereby enhancing the electrical performance.  相似文献   

20.
TaYOx-based metal-insulator-metal (MIM) capacitors with excellent electrical properties have been fabricated. Ultra-thin TaYOx films in the thickness range of 15-30 nm (EOT ∼ 2.4-4.7 nm) were deposited on Au/SiO2 (100 nm)/Si (100) structures by rf-magnetron co-sputtering of Ta2O5 and Y2O3 targets. TaYOx layers were characterized by X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray (EDX) and X-ray diffraction (XRD) to examine the composition and crystallinity. An atomic percentage of Ta:Y = 58.32:41.67 was confirmed from the EDX analysis while XRD revealed an amorphous phase (up to 500 °C) during rapid thermal annealing. Besides, a high capacitance density of ∼3.7-5.4 fF/μm2 at 10 kHz (εr ∼ 21), a low value of VCC (voltage coefficients of capacitance, α and β) have been achieved. Also, a highly stable temperature coefficient of capacitance, TCC has been obtained. Capacitance degradation phenomena in TaYOx-based MIM capacitors under constant current stressing (CCS at 20 nA) have been studied. It is observed that degradation depends strongly on the dielectric thickness and a dielectric breakdown voltage of 3-5 MV/cm was found for TaYOx films. The maximum energy storage density was estimated to be ∼5.69 J/cm3. Post deposition annealing (PDA) in O2 ambient at 400 °C has been performed and further improvement in device reliability and electrical performances has been achieved.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号