首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
ZrO2 thin films were deposited by the atomic layer deposition process on Si substrates using tetrakis(N,N′-dimethylacetamidinate) zirconium (Zr-AMD) as a Zr precursor and H2O as an oxidizing agent. Tetrakis (ethylmethylamino) zirconium (TEMA-Zr) was also evaluated for a comparative study. Physical properties of ALD-derived ZrO2 thin films were studied using ellipsometry, grazing incidence XRD (GI-XRD), high resolution TEM (HRTEM), and atomic force microscopy (AFM). The ZrO2 deposited using Zr-AMD showed a better thermal stability at high substrate temperature (>300 °C) compared to that using TEMA-Zr. GI-XRD analysis reveals that after 700 °C anneal both ZrO2 films enter tetragonal phase. The electrical properties of N2-annealed ZrO2 film using Zr-AMD exhibit an EOT of 1.2 nm with leakage current density as low as 2 × 10−3 A/cm2 (@Vfb−1 V). The new Zr amidinate is a promising ALD precursor for high-k dielectric applications.  相似文献   

2.
We have fabricated methanol sensor for monitoring the methanol concentration in direct methanol fuel cells. A thin composite nafion membrane was used as an electrolyte. We have analyzed the I-V characteristic of the fabricated methanol sensor as functions of methanol concentration, catalyst electrode and platinum (Pt) thickness. When we measured the sensor with 10 nm Pt and at 1 V, the current value was 1.30×10−6, 1.96×10−6, and 2.80×10−6 A for three methanol concentration of 1, 2, and 3 M, respectively. And when the methanol concentration was fixed at 2 M, the current value of the fabricated device with Pt of 5, 10 and 15 nm was 3.06×10−6, 1.96×10−6, and 1.00×10−6 A, respectively.  相似文献   

3.
Electrical properties and thermal stability of LaHfOx nano-laminate films deposited on Si substrates by atomic layer deposition (ALD) have been investigated for future high-κ gate dielectric applications. A novel La precursor, tris(N,N′-diisopropylformamidinato) lanthanum [La(iPrfAMD)3], was employed in conjunction with conventional tetrakis-(ethylmethyl)amido Hf (TEMA Hf) and water (H2O). The capacitance-voltage curves of the metal oxide semiconductor capacitors (MOSCAPs) showed negligible hysteresis and frequency dispersion, indicating minimal deterioration of the interface and bulk properties. A systematic shift in the flat-band voltage (Vfb) was observed with respect to the change in structure of nano-laminate stacks as well as La2O3 to HfO2 content in the films. The EOTs obtained were in the range of ∼1.23-1.5 nm with leakage current densities of ∼1.3 × 10−8 A/cm2 to 1.3 × 10−5 A/cm2 at Vfb − 1 V. In addition, the films with a higher content of La2O3 remained amorphous up to 950 °C indicating very good thermal stability, whereas the HfO2 rich films crystallized at lower temperatures.  相似文献   

4.
A Ge-stabilized tetragonal ZrO2 (t-ZrO2) film with permittivity (κ) of 36.2 was formed by depositing a ZrO2/Ge/ZrO2 laminate and a subsequent annealing at 600 °C, which is a more reliable approach to control the incorporated amount of Ge in ZrO2. On Si substrates, with thin SiON as an interfacial layer, the SiON/t-ZrO2 gate stack with equivalent oxide thickness (EOT) of 1.75 nm shows tiny amount of hysteresis and negligible frequency dispersion in capacitance-voltage (C-V) characteristics. By passivating leaky channels derived from grain boundaries with NH3 plasma, good leakage current of 4.8 × 10−8 A/cm2 at Vg = Vfb − 1 V is achieved and desirable reliability confirmed by positive bias temperature instability (PBTI) test is also obtained.  相似文献   

5.
In this study, high-pressure oxygen (O2 and O2 + UV light) technologies were employed to effectively improve the properties of low-temperature-deposited metal oxide dielectric films and interfacial layer. In this work, 13 nm HfO2 thin films were deposited by sputtering method at room temperature. Then, the oxygen treatments with a high-pressure of 1500 psi at 150 °C were performed to replace the conventional high temperature annealing. According to the XPS analyses, integration area of the absorption peaks of O-Hf and O-Hf-Si bonding energies apparently raise and the quantity of oxygen in deposited thin films also increases from XPS measurement. In addition, the leakage current density of standard HfO2 film after O2 and O2 + UV light treatments can be improved from 3.12 × 10−6 A/cm2 to 6.27 × 10−7 and 1.3 × 10−8 A/cm2 at |Vg| = 3 V. The proposed low-temperature and high pressure O2 or O2 + UV light treatment for improving high-k dielectric films is applicable for the future flexible electronics.  相似文献   

6.
Annealing effects on electrical characteristics and reliability of MOS device with HfO2 or Ti/HfO2 high-k dielectric are studied in this work. For the sample with Ti/HfO2 higher-k dielectric after a post-metallization annealing (PMA) at 600 °C, its equivalent oxide thickness value is 7.6 Å and the leakage density is about 4.5 × 10−2 A/cm2. As the PMA is above 700 °C, the electrical characteristics of MOS device would be severely degraded.  相似文献   

7.
Normally-off GaN-MOSFETs with Al2O3 gate dielectric have been fabricated and characterized. The Al2O3 layer is deposited by ALD and annealed under various temperatures. The saturation drain current of 330 mA/mm and the maximum transconductance of 32 mS/mm in the saturation region are not significantly modified after annealing. The subthreshold slope and the low-field mobility value are improved from 642 to 347 mV/dec and from 50 to 55 cm2 V−1 s−1, respectively. The ID-VG curve shows hysteresis due to oxide trapped charge in the Al2O3 before annealing. The amount of hysteresis reduces with the increase of annealing temperature up to 750 °C. The Al2O3 layer starts to crystallize at a temperature of 850 °C and its insulating property deteriorates.  相似文献   

8.
The HfO2 high-k thin films have been deposited on p-type (1 0 0) silicon wafer using RF magnetron sputtering technique. The XRD, AFM and Ellipsometric characterizations have been performed for crystal structure, surface morphology and thickness measurements respectively. The monoclinic structured, smooth surface HfO2 thin films with 9.45 nm thickness have been used for Al/HfO2/p-Si metal-oxide-semiconductor (MOS) structures fabrication. The fabricated Al/HfO2/Si structure have been used for extracting electrical properties viz dielectric constant, EOT, barrier height, doping concentration and interface trap density through capacitance voltage and current-voltage measurements. The dielectric constant, EOT, barrier height, effective charge carriers, interface trap density and leakage current density are determined are 22.47, 1.64 nm, 1.28 eV, 0.93 × 1010, 9.25 × 1011 cm−2 eV−1 and 9.12 × 10−6 A/cm2 respectively for annealed HfO2 thin films.  相似文献   

9.
Nanocrystalline titanium dioxide (TiO2) thin films were prepared by the sol-gel method and were then used to fabricate an indium-tin oxide (ITO)/nano-crystalline TiO2/poly(3,4-ethylenedioxythiophene) (PEDOT)/Au device. The junction thus obtained shows a rectifying behavior. Their current-voltage (I-V) characteristics in dark indicate that a heterojunction at the nano-crystalline TiO2/PEDOT interface has been created. The measured open-circuit voltage (Voc) and short-circuit current (Isc) for the device under illumination with 50 mW/cm2 light intensity under AM 1.5 conditions (device dimension was 1 cm2) are Voc=0.39 V, Isc=54.9 μA/cm2, the filling factor (FF)=0.429 and the energy conversion efficiency (η)=0.03%.  相似文献   

10.
This paper reports on an investigation of interface state densities, low frequency noise and electron mobility in surface channel In0.53Ga0.47As n-MOSFETs with a ZrO2 gate dielectric. Interface state density values of Dit ∼ 5 × 1012 cm−2 eV−1 were extracted using sub-threshold slope analysis and charge pumping technique. The same order of magnitude of trap density was found from low frequency noise measurements. A peak effective electron mobility of 1200 cm2/Vs has been achieved. For these surface channel In0.53Ga0.47As n-MOSFETs, it was found that η parameter, an empirical parameter used to calculate the effective electric field, was ∼0.55, and is to be comparable to the standard value found in Si device.  相似文献   

11.
The paper presents the results of capacitance-voltage, conductance-frequency and current-voltage characterization in the wide temperature range (140-300 K) as well as results of low temperature (5-20 K) thermally stimulated currents (TSC) measurements of metal-oxide-semiconductor (MOS) structures with a high-κ LaSiOx dielectric deposited on p- and n-type Si(1 0 0) substrate. Interface states (Dit) distribution determined by several techniques show consistent result and demonstrates the adequacy of techniques used. Typical maxima of interface states density were found as 4.6 × 1011 eV−1cm−2 at 0.2 eV and 7.9 × 1011 eV−1cm−2 at 0.77 eV from the silicon valence band. The result of admittance spectroscopy showed the presence of local states in bandgap with activation energy Ea = 0.38 eV from silicon conductance band, which is in accord with interface states profile acquired by conductance method. Low-temperature TSC spectra show the presence of shallow traps at the interface with activation energies ranging from 15 to 32 meV. The charge carrier transport through the dielectric film was found to occur via Poole-Frenkel mechanism at forward bias.  相似文献   

12.
In this paper, we report our recent study of the effect of RuO2 as an alternative top electrode for pMOS devices to overcome the serious problems of polysilicon (poly-Si) gate depletion, high gate resistance and dopant penetration in the trend of down to 50 nm devices and beyond. The conductive oxide RuO2, prepared by RF sputtering, was investigated as the gate electrode on the Laser MBE (LMBE) fabricated HfO2 for pMOS devices. Structural, dielectric and electric properties were investigated. RuO2/HfO2/n-Si capacitors showed negligible flatband voltage shift (<10 mV), very strong breakdown strength (>10 MV cm−1). Compared to the SiO2 dielectric with the same EOT value, RuO2/HfO2/n-Si capacitors exhibited at least 4 orders of leakage current density reduction. The work function value of the RuO2 top electrode was calculated to be about 5.0 eV by two methods, and the effective fixed oxide charge density was determined to be 3.3 × 1012 cm−2. All the results above indicate that RuO2 is a promising alternative gate electrode for LMBE grown HfO2 gate dielectrics.  相似文献   

13.
Hafnium oxide (HfO2) films were deposited on Si substrates with a pre-grown oxide layer using hafnium chloride (HfCl4) source by surface sol-gel process, then ultrathin (HfO2)x(SiO2)1−x films were fabricated due to the reaction of SiO2 layer with HfO2 under the appropriate reaction-anneal treatment. The observation of high-resolution transmission electron microscopy indicates that the ultrathin films show amorphous nature. X-ray photoelectron spectroscopy analyses reveal that surface sol-gel derived ultrathin films are Hf-Si-O alloy instead of HfO2 and pre-grown SiO2 layer, and the composition was Hf0.52Si0.48O2 under 500 °C reaction-anneal. The lowest equivalent oxide thickness (EOT) value of 0.9 nm of film annealed at 500 °C has been obtained with small flatband voltage of −0.31 V. The experimental results indicate that a simple and feasible solution route to fabricate (HfO2)x(SiO2)1−x composite films has been developed by means of combination of surface sol-gel and reaction-anneal treatment.  相似文献   

14.
High performance n-type F16CuPc organic thin-film transistors (OTFTs) were fabricated on polyethylene terephthalate (PET) using silk fibroin as the gate dielectric. The average field-effect mobility (μFE) value in the saturation regime is 0.39 cm2 V−1 s−1 approximately one order of magnitude higher than the reported values in the literature. A typical F16CuPc OTFT exhibits an on/off current ratio of 9.3 × 102, a low threshold voltage of 0.65 V, and a subthreshold swing value of 730 mV/decade. The enhancement of μFE results from very good crystal quality of F16CuPc on silk fibroin, supported by grazing incidence X-ray diffraction (GIXD) data.  相似文献   

15.
The energy distribution of interface states (Nss) and their relaxation time (τ) were of the fabricated the Al/SiO2/p-Si (MIS) structures were calculated using the forward bias current-voltage (I-V), capacitance-frequency (C-f) and conductance-frequency (G-f) measurements. Typical ln[I/(1 − exp(−qV/kT)] versus V characteristics of MIS structure under forward bias show one linear region. From this region, the slope and the intercept of this plot on the current axis allow to determine the ideality factor (n), the barrier height (Φb) and the saturation current (IS) evaluated to 1.32, 0.77 eV and 3.05 × 10−9 A, respectively. The diode shows non-ideal I-V behaviour with ideality factor greater than unity. This behaviour is attributed to the interfacial insulator layer at metal-semiconductor interface, the interface states and barrier inhomogeneity of the device. The energy distribution of interface states (Nss) and their relaxation time (τ) have been determined in the energy range from (0.37 − Ev) to (0.57 − Ev) eV. It has been seen that the Nss has almost an exponential rise with bias from the mid gap toward the top of valance band. In contrary to the Nss, the relaxation time (τ) shows a slow exponential rise with bias from the top of the Ev towards the mid gap energy of semiconductor. The values of Nss and τ change from 6.91 × 1013 to 9.92 × 1013 eV−1 cm−2 and 6.31 × 10−4 to 0.63 × 10−4 s, respectively.  相似文献   

16.
This paper gives the composition dependence of the bandgap energy for highly doped n-type AlxGa1−xN. We report results of the bowing parameter obtained using a random simulation. Three groups of AlxGa1−xN semiconductors were considered and which are distinguishable by their non degenerate or degenerate character in the doping density (1017?ND?1020 cm−3). A striking feature is the large discrepancy of the bandgap bowing (−2.02?b?2.94 eV), as was demonstrated from our calculations. This suggests that high doping may be a possible cause able to induce the large range of bowing parameters reported for AlxGa1−xN alloys.  相似文献   

17.
Titanium oxide (TiO2) has been extensively applied in the medical area due to its proved biocompatibility with human cells [1]. This work presents the characterization of titanium oxide thin films as a potential dielectric to be applied in ion sensitive field-effect transistors. The films were obtained by rapid thermal oxidation and annealing (at 300, 600, 960 and 1200 °C) of thin titanium films of different thicknesses (5 nm, 10 nm and 20 nm) deposited by e-beam evaporation on silicon wafers. These films were analyzed as-deposited and after annealing in forming gas for 25 min by Ellipsometry, Fourier Transform Infrared Spectroscopy (FTIR), Raman Spectroscopy (RAMAN), Atomic Force Microscopy (AFM), Rutherford Backscattering Spectroscopy (RBS) and Ti-K edge X-ray Absorption Near Edge Structure (XANES). Thin film thickness, roughness, surface grain sizes, refractive indexes and oxygen concentration depend on the oxidation and annealing temperature. Structural characterization showed mainly presence of the crystalline rutile phase, however, other oxides such Ti2O3, an interfacial SiO2 layer between the dielectric and the substrate and the anatase crystalline phase of TiO2 films were also identified. Electrical characteristics were obtained by means of I-V and C-V measured curves of Al/Si/TiOx/Al capacitors. These curves showed that the films had high dielectric constants between 12 and 33, interface charge density of about 1010/cm2 and leakage current density between 1 and 10−4 A/cm2. Field-effect transistors were fabricated in order to analyze ID x VDS and log ID × Bias curves. Early voltage value of −1629 V, ROUT value of 215 MΩ and slope of 100 mV/dec were determined for the 20 nm TiOx film thermally treated at 960 °C.  相似文献   

18.
HfO2 films were grown by atomic vapour deposition (AVD) on SiO2/Si (1 0 0) substrates. The positive shift of the flat band voltage of the HfO2 based metal-oxide-silicon (MOS) devices indicates the presence of negative fixed charges with a density of 5 × 1012 cm−2. The interface trap charge density of HfO2/SiO2 stacks can be reduced to 3 × 1011 eV−1 cm−2 near mid gap, by forming gas annealing. The extracted work function of 4.7 eV preferred the use of TiN as metal gate for PMOS transistors. TiN/HfO2/SiO2 gate stacks were integrated into gate-last-formed MOSFET structures. The extracted maximum effective mobility of HfO2 based PMOS transistors is 56 cm2/Vs.  相似文献   

19.
The admittance spectra and current–voltage (IV) characteristics are reported of metal–insulator–metal (MIM) and metal–insulator–semiconductor (MIS) capacitors employing cross-linked poly(amide–imide) (c-PAI) as the insulator and poly(3-hexylthiophene) (P3HT) as the active semiconductor. The capacitance of the MIM devices are constant in the frequency range from 10 Hz to 100 kHz, with tan δ values as low as 7 × 10−3 over most of the range. Except at the lowest voltages, the IV characteristics are well-described by the Schottky equation for thermal emission of electrons from the electrodes into the insulator. The admittance spectra of the MIS devices displayed a classic Maxwell–Wagner frequency response from which the transverse bulk hole mobility was estimated to be ∼2 × 10−5 cm2 V−1s−1 or ∼5 × 10−8 cm2 V−1s−1 depending on whether or not the surface of the insulator had been treated with hexamethyldisilazane (HMDS) prior to deposition of the P3HT. From the maximum loss observed in admittance-voltage plots, the interface trap density was estimated to be ∼5 × 1010 cm−2 eV−1 or ∼9 × 1010 cm−2 eV−1 again depending whether or not the insulator was treated with HMDS. We conclude, therefore, that HMDS plays a useful role in promoting order in the P3HT film as well as reducing the density of interface trap states. Although interposing the P3HT layer between the insulator and the gold electrode degrades the insulating properties of the c-PAI, nevertheless, they remain sufficiently good for use in organic electronic devices.  相似文献   

20.
As promising candidates for future microwave power devices, GaN-based high-electron mobility transistors (HEMTs) have attracted much research interest. An investigation of the operation of AlGaN/GaN n type self-aligned MOSFET with modulation doped GaN channels is presented. Liquid phase deposited (LPD) SiO2 is used as the insulating material. An analytical model based on modified charge control equations is developed. The investigated critical parameters of the proposed device are the maximum drain current (IDmax), the threshold voltage (Vth), the peak DC trans-conductance (gm), break down voltage (Vbr) and unity current gain cut-off frequency (fT). The typical DC characteristics for a gate length of 1 μm with 100 μm gate width are following: Imax=800 mA/mm, Vbreak-down=50 V, gm_extrinsic=200 mS/mm, Vpinchoff=−10 V. The analysis and simulation results on the transport characteristics of the MOS gate MODFET structure is compared with the previously measured experimental data. The calculated values of fT (20-130 GHz) suggest that the operation of the proposed device effectively, has sufficiently high current gain cutoff frequencies over a wide range of drain voltage, which is essential for high-power performance at microwave frequencies. The proposed device offers lower on-state resistance. The results so obtained are in close agreement with the experimental data.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号