首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
ZrO2 thin films were deposited by the atomic layer deposition process on Si substrates using tetrakis(N,N′-dimethylacetamidinate) zirconium (Zr-AMD) as a Zr precursor and H2O as an oxidizing agent. Tetrakis (ethylmethylamino) zirconium (TEMA-Zr) was also evaluated for a comparative study. Physical properties of ALD-derived ZrO2 thin films were studied using ellipsometry, grazing incidence XRD (GI-XRD), high resolution TEM (HRTEM), and atomic force microscopy (AFM). The ZrO2 deposited using Zr-AMD showed a better thermal stability at high substrate temperature (>300 °C) compared to that using TEMA-Zr. GI-XRD analysis reveals that after 700 °C anneal both ZrO2 films enter tetragonal phase. The electrical properties of N2-annealed ZrO2 film using Zr-AMD exhibit an EOT of 1.2 nm with leakage current density as low as 2 × 10−3 A/cm2 (@Vfb−1 V). The new Zr amidinate is a promising ALD precursor for high-k dielectric applications.  相似文献   

2.
Normally-off GaN-MOSFETs with Al2O3 gate dielectric have been fabricated and characterized. The Al2O3 layer is deposited by ALD and annealed under various temperatures. The saturation drain current of 330 mA/mm and the maximum transconductance of 32 mS/mm in the saturation region are not significantly modified after annealing. The subthreshold slope and the low-field mobility value are improved from 642 to 347 mV/dec and from 50 to 55 cm2 V−1 s−1, respectively. The ID-VG curve shows hysteresis due to oxide trapped charge in the Al2O3 before annealing. The amount of hysteresis reduces with the increase of annealing temperature up to 750 °C. The Al2O3 layer starts to crystallize at a temperature of 850 °C and its insulating property deteriorates.  相似文献   

3.
New ZrO2/Al2O3/ZrO2 (ZAZ) dielectric film was successfully developed for DRAM capacitor dielectrics of 60 nm and below technologies. ZAZ dielectric film grown by ALD has a mixture structure of crystalline phase ZrO2 and amorphous phase Al2O3 in order to optimize dielectric properties. ZAZ TIT capacitor showed small Tox.eq of 8.5 Å and a low leakage current density of 0.35 fA/cell, which meet leakage current criteria of 0.5 fA/cell for mass production. ZAZ TIT capacitor showed a smaller cap leak fail bit than HAH capacitor and stable leakage current up to 550 °C anneal. TDDB (time dependent dielectric breakdown) behavior reliably satisfied the 10-year lifetime criteria within operation voltage range.  相似文献   

4.
Nanocrystalline titanium dioxide (TiO2) thin films were prepared by the sol-gel method and were then used to fabricate an indium-tin oxide (ITO)/nano-crystalline TiO2/poly(3,4-ethylenedioxythiophene) (PEDOT)/Au device. The junction thus obtained shows a rectifying behavior. Their current-voltage (I-V) characteristics in dark indicate that a heterojunction at the nano-crystalline TiO2/PEDOT interface has been created. The measured open-circuit voltage (Voc) and short-circuit current (Isc) for the device under illumination with 50 mW/cm2 light intensity under AM 1.5 conditions (device dimension was 1 cm2) are Voc=0.39 V, Isc=54.9 μA/cm2, the filling factor (FF)=0.429 and the energy conversion efficiency (η)=0.03%.  相似文献   

5.
In this article, the conduction mechanisms of metal-oxide-semiconductor with vacuum annealed Lanthana (La2O3) oxide film are investigated. Lanthana films with thicknesses of 3.5, 4.7, and 11 nm were deposited by E-beam evaporation on n-Si (100), and annealed at various temperatures (300-500 °C) in ultra-high vacuum (10−10-10−9 Torr) for 90 min. From the measurement of spectroscopic ellipsometry, it is found that film thickness is increased with annealing temperature, which would be cause of flat-band voltage shift (ΔVFB) due to the growth of interfacial layer. From the capacitance measurement, it is found that ΔVFB of the film is reduced by post-deposition anneal (PDA) compared to that of as-deposited film, but increase again at high temperature annealing, especially in the case of thin film (3.5 nm). From the applied voltage and temperature dependence of the leakage current of the film, with different gate electrode materials (Ag, Al, and Pt), it is shown that the leakage currents are associated with ohmic and Poole-Frenkel (P-F) conductions when flat-band voltage (VFB) is less than zero, and ohmic and Space-Charge-Limited Current (SCLC) conductions when VFB is greater than zero. The dielectric constants obtained from P-F conduction for Al gate electrode case is found to be 11.6, which is consistent with the C-V result 11.9. Barrier height of trap potential well is found to be 0.24 eV from P-F conduction. Based on SCLC theory, leakage currents of 3.5 and 11 nm films with different PDA temperatures are explained in terms of oxide trap density.  相似文献   

6.
This paper reports on an investigation of interface state densities, low frequency noise and electron mobility in surface channel In0.53Ga0.47As n-MOSFETs with a ZrO2 gate dielectric. Interface state density values of Dit ∼ 5 × 1012 cm−2 eV−1 were extracted using sub-threshold slope analysis and charge pumping technique. The same order of magnitude of trap density was found from low frequency noise measurements. A peak effective electron mobility of 1200 cm2/Vs has been achieved. For these surface channel In0.53Ga0.47As n-MOSFETs, it was found that η parameter, an empirical parameter used to calculate the effective electric field, was ∼0.55, and is to be comparable to the standard value found in Si device.  相似文献   

7.
Hafnium oxide (HfO2) films were deposited on Si substrates with a pre-grown oxide layer using hafnium chloride (HfCl4) source by surface sol-gel process, then ultrathin (HfO2)x(SiO2)1−x films were fabricated due to the reaction of SiO2 layer with HfO2 under the appropriate reaction-anneal treatment. The observation of high-resolution transmission electron microscopy indicates that the ultrathin films show amorphous nature. X-ray photoelectron spectroscopy analyses reveal that surface sol-gel derived ultrathin films are Hf-Si-O alloy instead of HfO2 and pre-grown SiO2 layer, and the composition was Hf0.52Si0.48O2 under 500 °C reaction-anneal. The lowest equivalent oxide thickness (EOT) value of 0.9 nm of film annealed at 500 °C has been obtained with small flatband voltage of −0.31 V. The experimental results indicate that a simple and feasible solution route to fabricate (HfO2)x(SiO2)1−x composite films has been developed by means of combination of surface sol-gel and reaction-anneal treatment.  相似文献   

8.
Schottky barrier SOI-MOSFETs incorporating a La2O3/ZrO2 high-k dielectric stack deposited by atomic layer deposition are investigated. As the La precursor tris(N,N′-diisopropylformamidinato) lanthanum is used. As a mid-gap metal gate electrode TiN capped with W is applied. Processing parameters are optimized to issue a minimal overall thermal budget and an improved device performance. As a result, the overall thermal load was kept as low as 350, 400 or 500 °C. Excellent drive current properties, low interface trap densities of 1.9 × 1011 eV−1 cm−2, a low subthreshold slope of 70-80 mV/decade, and an ION/IOFF current ratio greater than 2 × 106 are obtained.  相似文献   

9.
Annealing effects on electrical characteristics and reliability of MOS device with HfO2 or Ti/HfO2 high-k dielectric are studied in this work. For the sample with Ti/HfO2 higher-k dielectric after a post-metallization annealing (PMA) at 600 °C, its equivalent oxide thickness value is 7.6 Å and the leakage density is about 4.5 × 10−2 A/cm2. As the PMA is above 700 °C, the electrical characteristics of MOS device would be severely degraded.  相似文献   

10.
Gelatin is a natural protein, which works well as the gate dielectric for pentacene/N,N-dioctyl-3,4,9,10-perylene tetracarboxylic diimide (PTCDI-C8) ambipolar organic field-effect transistors (OFETs) in air ambient and in vacuum. An aqueous solution process was used to form the gelatin gate dielectric film on poly(ethylene terephthalate) (PET) by spin-coating and subsequent casting. Pentacene morphology and interface roughness are two major factors affecting the electron and hole field-effect mobility (μFE) values of pentacene/PTCDI-C8 ambipolar OFETs in vacuum and in air ambient. In contrast, water absorption in gelatin has higher contribution to the electron and hole μFE values in air ambient. The ambipolar performance of pentacene/PTCDI-C8 ambipolar OFETs depends on their layer sequence. For example, when PTCDI-C8 is deposited onto pentacene, i.e. in the structure of PTCDI-C8/pentacene, unbalanced ambipolar characteristics appear. In contrast, better ambipolar performance occurs in the structure of pentacene/PTCDI-C8. The optimum ambipolar characteristics with electron μFE of 0.85 cm2 V−1 s−1 and hole μFE of 0.95 cm2 V−1 s−1 occurs at the condition of pentacene (40 nm)/PTCDI-C8 (40 nm). Surprisingly, water absorption plays a crucial role in ambipolar performance. The device performance changes tremendously in pentacene/PTCDI-C8 ambipolar OFETs due to the removal of water out of gelatin in vacuum. The optimum ambipolar characteristics with electron μFE of 0.008 cm2 V−1 s−1 and hole μFE of 0.007 cm2 V−1 s−1 occurs at the condition of pentacene (65 nm)/PTCDI-C8 (40 nm). The roles of layer sequence, relative layer thickness, and water absorption are proposed to explain the ambipolar performance.  相似文献   

11.
In this study, high-pressure oxygen (O2 and O2 + UV light) technologies were employed to effectively improve the properties of low-temperature-deposited metal oxide dielectric films and interfacial layer. In this work, 13 nm HfO2 thin films were deposited by sputtering method at room temperature. Then, the oxygen treatments with a high-pressure of 1500 psi at 150 °C were performed to replace the conventional high temperature annealing. According to the XPS analyses, integration area of the absorption peaks of O-Hf and O-Hf-Si bonding energies apparently raise and the quantity of oxygen in deposited thin films also increases from XPS measurement. In addition, the leakage current density of standard HfO2 film after O2 and O2 + UV light treatments can be improved from 3.12 × 10−6 A/cm2 to 6.27 × 10−7 and 1.3 × 10−8 A/cm2 at |Vg| = 3 V. The proposed low-temperature and high pressure O2 or O2 + UV light treatment for improving high-k dielectric films is applicable for the future flexible electronics.  相似文献   

12.
The etching mechanism of ZrO2 thin films in BCl3/Ar plasma was investigated using a combination of experimental and modeling methods. It was found that an increase in the Ar mixing ratio causes the non-monotonic behavior of the ZrO2 etch rate which reaches a maximum of 41.4 nm/min at about 30-35% Ar. Langmuir probe measurements and plasma modeling indicated the noticeable influence of a BCl3/Ar mixture composition on plasma parameters and active species kinetics that results in non-linear changes of both densities and fluxes for Cl, BCl2 and . From the model-based analysis of surface kinetics, it was shown that the non-monotonic behavior of the ZrO2 etch rate can be associated with the concurrence of chemical and physical pathways in ion-assisted chemical reaction.  相似文献   

13.
HfO2 films were grown by atomic vapour deposition (AVD) on SiO2/Si (1 0 0) substrates. The positive shift of the flat band voltage of the HfO2 based metal-oxide-silicon (MOS) devices indicates the presence of negative fixed charges with a density of 5 × 1012 cm−2. The interface trap charge density of HfO2/SiO2 stacks can be reduced to 3 × 1011 eV−1 cm−2 near mid gap, by forming gas annealing. The extracted work function of 4.7 eV preferred the use of TiN as metal gate for PMOS transistors. TiN/HfO2/SiO2 gate stacks were integrated into gate-last-formed MOSFET structures. The extracted maximum effective mobility of HfO2 based PMOS transistors is 56 cm2/Vs.  相似文献   

14.
In this paper, we report our recent study of the effect of RuO2 as an alternative top electrode for pMOS devices to overcome the serious problems of polysilicon (poly-Si) gate depletion, high gate resistance and dopant penetration in the trend of down to 50 nm devices and beyond. The conductive oxide RuO2, prepared by RF sputtering, was investigated as the gate electrode on the Laser MBE (LMBE) fabricated HfO2 for pMOS devices. Structural, dielectric and electric properties were investigated. RuO2/HfO2/n-Si capacitors showed negligible flatband voltage shift (<10 mV), very strong breakdown strength (>10 MV cm−1). Compared to the SiO2 dielectric with the same EOT value, RuO2/HfO2/n-Si capacitors exhibited at least 4 orders of leakage current density reduction. The work function value of the RuO2 top electrode was calculated to be about 5.0 eV by two methods, and the effective fixed oxide charge density was determined to be 3.3 × 1012 cm−2. All the results above indicate that RuO2 is a promising alternative gate electrode for LMBE grown HfO2 gate dielectrics.  相似文献   

15.
In this paper, we investigate the effect of water (H2O) molecules evolving from silicon dioxide (SiO2) film deposited by low pressure chemical vapor deposition (LPCVD) at 670 °C on the transistor characteristic of an electrically erasable programmable read only memory (EEPROM) cell. Fourier Transform Infra red (FT-IR) analysis reveals that H2O is captured during film deposition and diffused to silicon surface during high thermal processing. The diffused H2O molecules lower threshold voltage (Vt) of cell transistor and, thus, leakage current of the cell transistor is increased. In erased cell, Vt lowering is 0.25 V in which it increases leakage current of cell transistor from 1 to 100 pA. This results in the lowering of high voltage margin of a 512 Kb EEPROM from 2.8 to 2.6 V at 85 °C.  相似文献   

16.
High-κ dielectrics are promising candidates to increase capacitor integration densities but their properties depend on manufacturing process and frequency because relaxation and resonance mechanisms occur. Complementary characterization protocols are needed to analyze high-κ insulator behaviour from DC to microwave frequencies. The extraction of Plasma Enhanced Atomic Layer Deposition HfO2 and ZrO2 complex permittivity was performed up to 5 GHz using dedicated test vehicles allowing an in situ characterization as a function of dielectric thickness. The measurement procedure was thus validated, highlighting the potentiality of these two dielectrics to cover a wide range of frequencies.  相似文献   

17.
Density functional theory was used to performed a survey of transition metal oxide (MO2 = ZrO2, HfO2) ordered molecular adsorbate bonding configurations on the Ge(1 0 0)-4 × 2 surface. Surface binding geometries of metal-down (O-M-Ge) and oxygen-down (M-O-Ge) were considered, including both adsorbate and displacement geometries of M-O-Ge. Calculated enthalpies of adsorption show that bonding geometries with metal-Ge bonds (O-M-Ge) are essentially degenerate with oxygen-Ge bonding (M-O-Ge). Calculated electronic structures indicate that adsorbate surface bonding geometries of the form O-M-Ge tend to create a metallic interfaces, while M-O-Ge geometries produce, in general, much more favorable electronic structures. Hydrogen passivation of both oxygen and metal dangling bonds was found to improve the electronic structure of both types of MO2 adsorbate systems, and induced the opening of true semiconducting band gaps for the adsorbate-type M-O-Ge geometries. Shifts observed in the DOS minima for both O-M-Ge and M-O-Ge adsorbate geometries are consistent with surface band bending induced by the adsorbate films, where such band bending extends much further into the Ge substrate than can be modeled by the Ge slabs used in this work.  相似文献   

18.
High performance n-type F16CuPc organic thin-film transistors (OTFTs) were fabricated on polyethylene terephthalate (PET) using silk fibroin as the gate dielectric. The average field-effect mobility (μFE) value in the saturation regime is 0.39 cm2 V−1 s−1 approximately one order of magnitude higher than the reported values in the literature. A typical F16CuPc OTFT exhibits an on/off current ratio of 9.3 × 102, a low threshold voltage of 0.65 V, and a subthreshold swing value of 730 mV/decade. The enhancement of μFE results from very good crystal quality of F16CuPc on silk fibroin, supported by grazing incidence X-ray diffraction (GIXD) data.  相似文献   

19.
Perovskite ferroelectric BaxSr1−xTiO3 (x = 0.5, 0.6, 0.7 and 0.8) thin films have been fabricated as metal-ferroelectric-insulator-semiconductor (MFIS) configurations using a sol-gel technique. The C-V characteristics for different Ba-Sr ratios and different film thicknesses have been measured in order to investigate the ferroelectric memory window effect. The results show that the memory window width increases with the increase both of Ba content and film thickness. This behavior is attributed to the grain size and dipole dynamics effect. It is found also that the memory window increases as the applied voltage increases. In addition, the leakage current density for the films is measured and it is found to be of the order of 10−8 A/cm2 for all tested samples, indicating that the films have good insulating characteristics.  相似文献   

20.
The HfO2 high-k thin films have been deposited on p-type (1 0 0) silicon wafer using RF magnetron sputtering technique. The XRD, AFM and Ellipsometric characterizations have been performed for crystal structure, surface morphology and thickness measurements respectively. The monoclinic structured, smooth surface HfO2 thin films with 9.45 nm thickness have been used for Al/HfO2/p-Si metal-oxide-semiconductor (MOS) structures fabrication. The fabricated Al/HfO2/Si structure have been used for extracting electrical properties viz dielectric constant, EOT, barrier height, doping concentration and interface trap density through capacitance voltage and current-voltage measurements. The dielectric constant, EOT, barrier height, effective charge carriers, interface trap density and leakage current density are determined are 22.47, 1.64 nm, 1.28 eV, 0.93 × 1010, 9.25 × 1011 cm−2 eV−1 and 9.12 × 10−6 A/cm2 respectively for annealed HfO2 thin films.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号