首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 542 毫秒
1.
In this paper, new porous spin-on dielectric (HL02™, trademark of the LG Ltd.) was studied. The characterizations, such as thermal stability, chemical structure, dielectric constant (k) and mechanical properties (hardness and modulus), of methylsilsesquioxane (MSQ)-based dielectrics were evaluated. An optimized material (k = 2.25), characterized by a hardness and a modulus of 1.0 GPa and 6.5 GPa each in association with a porosity of 30% and a mean pore radius of 2.2 nm, was successfully integrated in damascene process with 10 levels of Cu/low-k film for 65 nm technology and beyond. Good electrical results were obtained in metal line resistance and leakage current.  相似文献   

2.
The optical properties of low dielectric constant (low-k) films have been determined by variable angle spectroscopic ellipsometry in the range from 2 eV to 9 eV to characterize the process of porogen removal during the UV-cure. The studied carbon doped oxide (SiCOH) porous dielectric films have been prepared by plasma enhanced chemical vapor deposition. The films have been deposited as a composition of a matrix precursor and an organic porogen. After deposition, the films have been cured by thermal annealing and UV irradiation (λ = 172 nm) to remove the porogen and create a porosity of 33%, reaching a dielectric constant of 2.3. The process of porogen decomposition and removal has been studied on series of low-k samples, UV-cured for various times. Additional samples have been prepared by the deposition and curing of the porogen film, without SiCOH matrix, and the matrix material itself, without porogen. The analysis of the optical response of the porous dielectric as a mixture of matrix material, porogen and voids, together with Fourier transform infrared analysis, allows the sensitive detection of the volume of the porogen and indicates the existence of decomposed porogen residues inside the pores, even for long curing time. The variation of the deposition and curing conditions can control the amount of the porogen residues and the final porosity.  相似文献   

3.
In this study a low-k material, methyl-silsesquiazane (MSZ) has been investigated as a passivation dielectric layer for thin-film transistor (TFT) arrays. Compared with the conventional nitride film (k ∼ 7), the MSZ passivation layer exhibits a low residual stress and low dielectric constant (k ∼ 2.6) which lowers the RC delay in a device. The high transmittance and good planarization characteristics of a low-k MSZ film enhance the brightness and aperture ratio of thin-film transistors liquid crystal displays (TFT-LCDs).  相似文献   

4.
A set of SiCOH low dielectric constant films (low-k) has been deposited by plasma enhanced chemical vapor deposition using variable flow rates of the porogen (sacrificial phase) and matrix precursors. During the deposition, two different substrate temperatures and radio frequency power settings were applied. Next, the deposited films were cured by the UV assisted annealing (UV-cure) using two industrial UV light sources: a monochromatic UV source with intensity maximum at λ = 172 nm (lamp A) and a broadband UV source with intensity spectrum distributed below 200 nm (lamp B). This set of various low-k films has been additionally exposed to NH3 plasma (used for the CuOx reduction during Cu/low-k integration) in order to evaluate the effect of the film preparation conditions on the plasma damage resistance of low-k material. Results show that the choice of the UV-curing light source has significant impact on the chemical composition of the low-k material and modifies the porogen removal efficiency and subsequently the material porosity. The 172 nm photons from lamp A induce greater changes to most of the evaluated properties, particularly causing undesired removal of SiCH3 groups and their replacement with SiH. The softer broadband radiation from lamp B improves the porogen removal efficiency, leaving less porogen residues detected by spectroscopic ellipsometry in UV range. Furthermore, it was found that the degree of bulk hydrophilization (plasma damage) after NH3 plasma exposure is driven mainly by the film porosity.  相似文献   

5.
Two structures of low dielectric constant (low-k) SiOC films were elucidated in this work. Low-k thin film by remote plasma mode was mainly composed of inorganic Si-O-Si backbone bonds and some oxygen atoms are partially substituted by CH3, which lowers k value. The host matrix of low-k thin films deposited by direct plasma mode, however, was mainly composed of organic C-C bonds and “M” and “D” moieties of organosilicate building blocks, and thus the low dipole and ionic polarizabilities were the important factors on lowering k value.  相似文献   

6.
The incorporation of mesopores into silica films is an effective way to reduce the dielectric constant. However, the pores reduce the film mechanical strength. This study investigates two steps for preparing coating solution. One was the reflux of the silica colloid at 70 °C. The other was the addition of TPAOH (tetrapropylammonium hydroxide) into the colloid. The reflux step can increase the mechanical strength, reduce the flat band voltage and reduce the leakage current of the films. Nevertheless, the low-k value (k represents dielectric constant) increases as the porosity of the film falls. Adding a slight amount of TPAOH before the reflux process can recover both the porosity and the low k value, while maintaining the high mechanical strength and the low flat band voltage. Results of this study demonstrate that two more steps (the addition of TPAOH and the reflux) in the preparation of the coating solution can increase the film hardness and elastic modulus from 0.8 to 1.4 GPa and from 5.8 to 9.9 GPa respectively, while maintaining the low-k value close to 2.05.  相似文献   

7.
Atomic hydrogen generated by a heated tungsten catalyzer has been investigated in terms of the damage-less ash and restoration of damaged low-k dielectric. No difference of damaged thickness of low-k dielectric between before and after the ash by HF dip using patterned porous methyl silsesquioxane (MSQ) film was found. Moreover atomic hydrogen exposure slightly reduced capacitance of the micro-structured capacitor with the Cu wire and the CVD porous low-k dielectric.  相似文献   

8.
The dielectric property of anisotropic conductive film (ACF) as an interconnect materials in the flip–chip joints is becoming important concern for device packaging solution at high-frequency due to low parasitic effect on the signal transfer. The effects of non-conductive, dielectric filler content on dielectric properties of ACA materials, like dielectric constant, loss factor and loss tangent, and conductivity at high-frequency were investigated. Frequency is dominating factor in determining dielectric constant, loss factor, and conductivity. However, the filler content is dominant only on dielectric constant, not on the loss factor, and conductivity at low-frequency range. The effect of low dielectric constant (low-k) filler addition on high-frequency behavior of ACF interconnection in flip–chip assembly was also investigated. Impedance parameters of low-k ACF with Ni filler and low-k SiO2 filler extracted from measurement were compared with that of conventional ACF with only Ni filler. The resonant frequency of conventional ACF flip–chip interconnect was 13 GHz, while the resonant frequency of low-k ACF including low-k SiO2 filler was found at 15 GHz. This difference is originated from capacitance decrease of polymer matrix between bump and substrate pad due to change in dielectric constant of polymer matrix, which was verified by measurement-based modeling. The high-frequency property of the conductive adhesive flip–chip joint, such as resonant frequency can be enhanced by low-k polymer matrix.  相似文献   

9.
In microelectronics industry, integration of the low dielectric constant (low-k) material films is a continuing issue due to the decreasing device feature size. To improve electric properties, various post-deposition treatments of the low-k material films can be used. In this work, we used room temperature treatment of He/H2 plasma and investigated the effects of plasma treatment on the electrical properties of low-k SiOCH films. Plasma treatment time changed from 300 to 1800 s. After treatment, the dielectric constant was decreased from 2.9 to 2.48, and the thickness of the low-k SiCOH films changed by only ~5%. The leakage current densities of the low-k SiCOH films were decreased to ~10?11 A/cm2, with treatment time ≥600 s. The breakdown occurred only around 2 V for films plasma-treated for 600 and 900 s. However, for 1800 s treatment time, the breakdown voltage was enhanced dramatically and breakdown occurred at applied voltage higher than 40 V. The surface composition change of the films after treatment was investigated by X-ray photoelectron spectroscopy (XPS). As the plasma treatment time was increased, the intensities of CC/CH and CSi peaks were decreased while the intensities of SiO and CO peaks were increased. It is thought that increase of oxygen content of the SiCOH film, after plasma treatment, contributed to leakage current reduction and breakdown voltage increase.  相似文献   

10.
We performed RBS, infrared (IR) and C-V measurements in order to follow the evolution of Xe, bubbles/cavities and other defects (with a focus on NBOHC: non-bridging oxygen hole center) and dielectric constant (k), in high dose Xe implantation in SiO2. As-implanted sample provides the lowest value of k which increases with post thermal annealing. In the meantime, the concentration of negatively charged defects decreases with annealing while Xe out-diffuses after annealing at 1100 °C leaving Xe free cavities in the sample. By combining these results one can determine the contribution of nanoporosity in dielectric constant evolution.  相似文献   

11.
SiCOH low-k (k = 2.8) film etched in fluorocarbon (CF4 and CHF3) inductively coupled plasmas was characterized in this work. The surface composition and molecular structures of the low-k films after etching in the CF4, CHF3, CF4/Ar, and CHF3/Ar plasmas were characterized. A higher etch rate was observed with the CF4 plasmas than with the CHF3 plasmas. The etch rate of the low-k film in the CF4 plasmas was decreased and the etch rate in the CHF3 plasmas was increased by the Ar addition. After etching the low-k films, a decrease in the dielectric constant of up to 0.19 was observed. The thickness of the fluorocarbon (CFx) layer and CFx (x = 1, 2, 3)-to-carbon ratio obtained from the XPS C 1s peak increased with decreasing etch rate. The k-value was correlated with amount of Si-CH3 and Si-O related groups determined from the Fourier transform infrared (FT-IR) spectrum. The Si-O related peaks were markedly decreased after etching in the CF4 and CF4/Ar plasmas. The lower k-value was attributed to the increase of the Si-CH3/Si-O ratio after etching low-k film.  相似文献   

12.
《Materials Letters》2006,60(13-14):1579-1581
Low-density materials, such as the commercially available hydrogen silsesquioxane (HSQ) offer a low dielectric constant. Thus, HSQ with a low value of k (∼ 2.85) can be spin-coated if the density of Si–H bonding is maintained at a high level and the formation of –OH bonds and absorption of water in the film is minimized. O2 plasma exposure on HSQ film increases leakage current. Also the dielectric constant shows a significant increase after O2 plasma exposure. Another consequence of the O2 plasma exposure is the significant decrease in the contact angle of the HSQ surface, which is not desirable. In this paper, we demonstrate that the surface passivation by hydrogen followed by oxygen plasma treatment of HSQ film for 30 min each leads to a regain of leakage current density and dielectric constant. These results show that the H2 plasma treatment is a promising technique to prevent the damage in the commercially available and highly applicable low-k materials and it also increases the visibility of its use at the 0.1-μm technology. The more hydrophilic nature of the HSQ surface after O2 plasma exposure leads to an increased moisture absorption with a subsequent increase in the dielectric constant.  相似文献   

13.
To evaluate potential solutions for reducing the damage to ultra low-k dielectrics during photoresist stripping in advanced interconnect technology, we have investigated the mechanisms of interactions between remote H2, D2 and N2 discharges and porous organo-silicate materials. Extended sub-surface modifications take place in high carbon-content organo-silicates, whereas silica-rich dielectrics show negligible chemical damages during the same treatments. The nature of plasma/dielectric interactions depends primarily on the organic fraction of the ULK material. Methyl groups in silica-rich organo-silicates withstand the interaction with the plasma species. Conversely, large organic compounds in carbon-rich dielectrics experience cleavage reactions leading to volatile hydrocarbon formation and compositional changes. For conditions where stripping-induced damage is introduced, the effects scale with the substrate temperature in the range 200 °C-300 °C. The permeation of the ULK material by remote plasma species depends on its porosity.  相似文献   

14.
Lei Li 《Materials Letters》2009,63(2):252-254
The layered dielectric resonator structures composed of Ba2Ti9O20 (BTO) and Ba1.85Sm4.1Ti9O24 (BSTO) ceramic pellets were introduced to design new microwave dielectric materials with adjustable dielectric constant between 50 and 70. Good combination of microwave dielectric properties (?r,eff = 50 ~ 70, Qf = 11,700-19,100 GHz and τf = − 4.3 to − 1.5 ppm/°C) was obtained by the present approach, and such combination could be optimized by adjusting the volume fraction of BSTO and stacking scheme. For practical applications, the BTO and BSTO layers could be bonded by low-loss adhesive, and the effects of the adhesive on the microwave dielectric properties were limited.  相似文献   

15.
Thin films of Ta2O5, Nb2O5, and HfO2 were deposited by reactive-low-voltage-ion-plating (RLVIP) on unheated glass and silicon substrates. The film thickness was about 200 nm. Optical properties as well as mechanical film stress of these layers were investigated in dependence of various deposition parameters, i.e. arc current and oxygen partial pressure. For an arc current in the range between 40 and 50 A and an oxygen partial pressure of at least 11 · 10− 4 mbar good results were obtained. The refractive index and film thickness were calculated from spectrophotometric transmission data using the Swanepoel theory. For example at 550 nm wavelength the refractive index for thin RLVIP-Nb2O5-films was found to be n550 = 2.40. The optical absorption was obtained by photo-thermal deflection spectrometry. For the investigated materials absorption coefficients in the range of k = 5 · 10− 4 at 515 nm wavelength were measured. The mechanical film stress was determined by measuring the difference in bending of silicon substrates before and after the deposition process. For dense films, i.e. no water vapour sorption on atmosphere, the mechanical film stress was always compressive with values of some hundred MPa. In case of films deposited with higher arc currents (Iarc > 60A) and lower oxygen pressure (< 15 · 10− 4 mbar) the influence of a post deposition heat treatment at 350 °C for 4 h on air was also investigated. For these films the properties could clearly be improved by such treatment. However, by using lower arc currents and higher oxygen partial pressure during the ion plating process, immediately dense and environmental stable films with good optical as well as mechanical properties could be achieved without post deposition heat treatment. All the results obtained will be presented in graphs and diagrams.  相似文献   

16.
High-k dielectric titanium silicate (TixSi1 − xO2) thin films have been deposited by means of an optimized sol-gel process. At the optimal firing temperature of 600 °C, the Ti0.5Si0.5O2 films are shown to exhibit not only a dielectric constant (k) as high as ∼ 23 but more importantly the lowest leakage current and dielectric losses. Fourier transform infrared spectroscopy shows an absorbance peak at 930 cm− 1, which is a clear signature of the formation of Ti-O-Si bondings in all the silicate films. The developed sol-gel process offers the required latitude to grow TixSi1 − xO2 with any composition (x) in the whole 0 ≤ x ≤ 1 range. Thus, the k value of the TixSi1 − xO2 films can be tuned at any value between that of SiO2 (3.8) to that of TiO2 (k ∼ 60) by simply controlling the TiO2 content of the films. The composition dependence of the dielectric constant of the TixSi1 − xO2 films is analyzed in the light of existing models for dielectric composites.  相似文献   

17.
With semiconductor technologies continuously pushing the miniaturization limits, there is a growing interest in developing novel low dielectric constant materials to replace the traditional dense SiO2 insulators. In order to survive the multi-level integration process and provide reliable material and structure for the desired integrated circuits (IC) functions, the new low-k materials have to be mechanically strong and stable. Therefore the material selection and mechanical characterization are vital for the successful development of next generation low-k dielectrics. A new class of low-k materials, nanoporous pure-silica zeolite, is prepared in thin films using IC compatible spin coating process and characterized using depth sensing nanoindentation technique. The elastic modulus of the zeolite thin films is found to be significantly higher than that of other low-k materials with similar porosity and dielectric constants. Correlations between the mechanical, microstructural and electrical properties of the thin films are discussed in detail.  相似文献   

18.
In this study, the influence of the duration of CF4 plasma treatment of rapid thermal annealing on high-k Er2O3 dielectrics deposited on polycrystalline silicon was investigated using electrical and material analyses. Results demonstrate that Er2O3 dielectric films annealed at 800 °C and plasma treated with CF4 for a period of 1 min exhibited excellent dielectric performance, including a higher breakdown electric field, lower charge trapping rate, and a larger charge-to-breakdown than the as-deposited sample. Performance improvements were caused by the incorporation of fluorine atoms and the reduction of dangling bonds and defect traps.  相似文献   

19.
The preparations of the 20-period of a Si quantum dot (QD)/SiNx multilayer in a hot-wire chemical vapor deposition (HWCVD) chamber is presented in this paper. The changes in the properties of Si-QDs after the post deposition annealing treatment are studied in detail. Alternate a-Si:H and SiNx layers are grown in a single SiNx deposition chamber by cracking SiH4, and SiH4 + NH3, respectively at 250 °C. The as-deposited samples are annealed in the temperature range of 800 °C to 950 °C to grow Si-QDs. All the samples are characterized by confocal micro Raman, transmission electron microscope (TEM), and photoluminescence (PL) to study the changes in the film structures after the annealing treatment. The micro Raman analysis of the samples shows the frequency line shifting from 482 cm− 1 to 500 cm− 1 indicating the Si transition from an amorphous to a crystalline phase. The TEM micrograph inspection indicates the formation of Si-QDs of size 3 to 5 nm and a density of 5 × 1012/cm2. The high resolution TEM micrographs show an agglomeration of Si-QDs with an increase in the annealing temperature. The PL spectra show a peak shifting from 459 nm to 532 nm with increasing the annealing temperature of the film.  相似文献   

20.
ZnO and Zn1−xCdxO nanocrystallites were prepared by oxidation of zinc arachidate-arachidic acid and zinc arachidate-cadmium arachidate-arachidic acid LB multilayers, respectively. The metal content of the multilayers was controlled by manipulation of subphase composition and pH. Precursor multilayers were oxidized in the temperature range of 400 °C-700 °C. The formation of ZnO and Zn1−xCdxO was confirmed by UV-Visible spectroscopy. Uniformly distributed, isolated and nearly mono-dispersed nanocrystallites of ZnO (11 ± 3 nm) and Zn1−xCdxO (18 ± 6 nm) were obtained.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号