首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
In this paper, the line-to-line parasitic capacitance of an advanced interconnects with a low-k dielectric (k < 3.0) was extracted by electrical measurement on comb-serpentine structures with various spacing. The empirical values are higher than the prediction from the filed solver, especially in the small geometries. A model was derived based on the damage of low-k dielectric during processing, which causes the increase of the dielectric constant. Then, the effective dielectric constant was evaluated by both simulation and theoretical models. The k value of damage zone was determined from blanket wafer by mercury probe after oxygen plasma treatment. Good agreement was obtained after we modified the simulation structure to include the damage zone. Especially, the concept of low-k damage due to plasma treatment was characterized for the first time. Thus, it is possible to use this model in the future study, such as the porous low-k in 65 nm or even 45 nm generations.  相似文献   

2.
In this paper, new porous spin-on dielectric (HL02™, trademark of the LG Ltd.) was studied. The characterizations, such as thermal stability, chemical structure, dielectric constant (k) and mechanical properties (hardness and modulus), of methylsilsesquioxane (MSQ)-based dielectrics were evaluated. An optimized material (k = 2.25), characterized by a hardness and a modulus of 1.0 GPa and 6.5 GPa each in association with a porosity of 30% and a mean pore radius of 2.2 nm, was successfully integrated in damascene process with 10 levels of Cu/low-k film for 65 nm technology and beyond. Good electrical results were obtained in metal line resistance and leakage current.  相似文献   

3.
The optical properties of low dielectric constant (low-k) films have been determined by variable angle spectroscopic ellipsometry in the range from 2 eV to 9 eV to characterize the process of porogen removal during the UV-cure. The studied carbon doped oxide (SiCOH) porous dielectric films have been prepared by plasma enhanced chemical vapor deposition. The films have been deposited as a composition of a matrix precursor and an organic porogen. After deposition, the films have been cured by thermal annealing and UV irradiation (λ = 172 nm) to remove the porogen and create a porosity of 33%, reaching a dielectric constant of 2.3. The process of porogen decomposition and removal has been studied on series of low-k samples, UV-cured for various times. Additional samples have been prepared by the deposition and curing of the porogen film, without SiCOH matrix, and the matrix material itself, without porogen. The analysis of the optical response of the porous dielectric as a mixture of matrix material, porogen and voids, together with Fourier transform infrared analysis, allows the sensitive detection of the volume of the porogen and indicates the existence of decomposed porogen residues inside the pores, even for long curing time. The variation of the deposition and curing conditions can control the amount of the porogen residues and the final porosity.  相似文献   

4.
SiCOH low-k (k = 2.8) film etched in fluorocarbon (CF4 and CHF3) inductively coupled plasmas was characterized in this work. The surface composition and molecular structures of the low-k films after etching in the CF4, CHF3, CF4/Ar, and CHF3/Ar plasmas were characterized. A higher etch rate was observed with the CF4 plasmas than with the CHF3 plasmas. The etch rate of the low-k film in the CF4 plasmas was decreased and the etch rate in the CHF3 plasmas was increased by the Ar addition. After etching the low-k films, a decrease in the dielectric constant of up to 0.19 was observed. The thickness of the fluorocarbon (CFx) layer and CFx (x = 1, 2, 3)-to-carbon ratio obtained from the XPS C 1s peak increased with decreasing etch rate. The k-value was correlated with amount of Si-CH3 and Si-O related groups determined from the Fourier transform infrared (FT-IR) spectrum. The Si-O related peaks were markedly decreased after etching in the CF4 and CF4/Ar plasmas. The lower k-value was attributed to the increase of the Si-CH3/Si-O ratio after etching low-k film.  相似文献   

5.
The characteristics of an SiNx passivation layer grown by a specially designed inductively coupled plasma chemical vapor deposition (ICP-CVD) system with straight antennas for the top-emitting organic light emitting diodes (TOLEDs) are investigated. Using a high-density plasma on the order of ∼ 1011 electrons/cm3 formed by nine straight antennas connected in parallel, a high-density SiNx passivation layer was deposited on a transparent Mg-Ag cathode at a substrate temperature of 40 °C. Even at a low substrate temperature, single SiNx passivation layer prepared by ICP-CVD showed a low water vapor transmission rate of 5 × 10− 2 g/m2/day and a transparency of ∼ 85% respectively. In addition, current-voltage-luminescence results of the TOLED passivated by the SiNx layer indicated that the electrical and optical properties of the TOLED were not affected by the high-density plasma during the SiNx deposition process.  相似文献   

6.
Two structures of low dielectric constant (low-k) SiOC films were elucidated in this work. Low-k thin film by remote plasma mode was mainly composed of inorganic Si-O-Si backbone bonds and some oxygen atoms are partially substituted by CH3, which lowers k value. The host matrix of low-k thin films deposited by direct plasma mode, however, was mainly composed of organic C-C bonds and “M” and “D” moieties of organosilicate building blocks, and thus the low dipole and ionic polarizabilities were the important factors on lowering k value.  相似文献   

7.
A set of SiCOH low dielectric constant films (low-k) has been deposited by plasma enhanced chemical vapor deposition using variable flow rates of the porogen (sacrificial phase) and matrix precursors. During the deposition, two different substrate temperatures and radio frequency power settings were applied. Next, the deposited films were cured by the UV assisted annealing (UV-cure) using two industrial UV light sources: a monochromatic UV source with intensity maximum at λ = 172 nm (lamp A) and a broadband UV source with intensity spectrum distributed below 200 nm (lamp B). This set of various low-k films has been additionally exposed to NH3 plasma (used for the CuOx reduction during Cu/low-k integration) in order to evaluate the effect of the film preparation conditions on the plasma damage resistance of low-k material. Results show that the choice of the UV-curing light source has significant impact on the chemical composition of the low-k material and modifies the porogen removal efficiency and subsequently the material porosity. The 172 nm photons from lamp A induce greater changes to most of the evaluated properties, particularly causing undesired removal of SiCH3 groups and their replacement with SiH. The softer broadband radiation from lamp B improves the porogen removal efficiency, leaving less porogen residues detected by spectroscopic ellipsometry in UV range. Furthermore, it was found that the degree of bulk hydrophilization (plasma damage) after NH3 plasma exposure is driven mainly by the film porosity.  相似文献   

8.
The incorporation of mesopores into silica films is an effective way to reduce the dielectric constant. However, the pores reduce the film mechanical strength. This study investigates two steps for preparing coating solution. One was the reflux of the silica colloid at 70 °C. The other was the addition of TPAOH (tetrapropylammonium hydroxide) into the colloid. The reflux step can increase the mechanical strength, reduce the flat band voltage and reduce the leakage current of the films. Nevertheless, the low-k value (k represents dielectric constant) increases as the porosity of the film falls. Adding a slight amount of TPAOH before the reflux process can recover both the porosity and the low k value, while maintaining the high mechanical strength and the low flat band voltage. Results of this study demonstrate that two more steps (the addition of TPAOH and the reflux) in the preparation of the coating solution can increase the film hardness and elastic modulus from 0.8 to 1.4 GPa and from 5.8 to 9.9 GPa respectively, while maintaining the low-k value close to 2.05.  相似文献   

9.
Atomic hydrogen generated by a heated tungsten catalyzer has been investigated in terms of the damage-less ash and restoration of damaged low-k dielectric. No difference of damaged thickness of low-k dielectric between before and after the ash by HF dip using patterned porous methyl silsesquioxane (MSQ) film was found. Moreover atomic hydrogen exposure slightly reduced capacitance of the micro-structured capacitor with the Cu wire and the CVD porous low-k dielectric.  相似文献   

10.
High-k dielectric titanium silicate (TixSi1 − xO2) thin films have been deposited by means of an optimized sol-gel process. At the optimal firing temperature of 600 °C, the Ti0.5Si0.5O2 films are shown to exhibit not only a dielectric constant (k) as high as ∼ 23 but more importantly the lowest leakage current and dielectric losses. Fourier transform infrared spectroscopy shows an absorbance peak at 930 cm− 1, which is a clear signature of the formation of Ti-O-Si bondings in all the silicate films. The developed sol-gel process offers the required latitude to grow TixSi1 − xO2 with any composition (x) in the whole 0 ≤ x ≤ 1 range. Thus, the k value of the TixSi1 − xO2 films can be tuned at any value between that of SiO2 (3.8) to that of TiO2 (k ∼ 60) by simply controlling the TiO2 content of the films. The composition dependence of the dielectric constant of the TixSi1 − xO2 films is analyzed in the light of existing models for dielectric composites.  相似文献   

11.
In this article, a methyl-doped silicon oxide low k film for use in inter-level dielectric application has been characterized. The structural and electrical properties of films prepared by chemical vapor deposition before and after different etching and photo-resist stripping (PRS) plasma treatments were studied. Structural properties of the low k film with various extents of forming gas and O2 plasma treatments were reflected by the contents of Si-CH3 and Si-H bonds. Surface roughness of films with plasma treatments was closely linked to the ratios of the cage- and network-structures of Si-O. Electrical properties of plasma-treated films were dependent on the applications of both etching and PRS plasma chemistries. Forming gas PRS caused the least low k film structural change and electrical deterioration compared with O2 treatment. Moreover, Ebd of films decreased significantly by CH2F2 versus C4F8 etch. The best electrical properties of the film was obtained with a leakage current density of < 1 × 10− 8 A/cm2 and a dielectric breakdown strength of ∼3.2 MV/cm after being subjected with C4F8 / N2 / Ar trench etch and forming gas PRS treatment.  相似文献   

12.
The dielectric property of anisotropic conductive film (ACF) as an interconnect materials in the flip–chip joints is becoming important concern for device packaging solution at high-frequency due to low parasitic effect on the signal transfer. The effects of non-conductive, dielectric filler content on dielectric properties of ACA materials, like dielectric constant, loss factor and loss tangent, and conductivity at high-frequency were investigated. Frequency is dominating factor in determining dielectric constant, loss factor, and conductivity. However, the filler content is dominant only on dielectric constant, not on the loss factor, and conductivity at low-frequency range. The effect of low dielectric constant (low-k) filler addition on high-frequency behavior of ACF interconnection in flip–chip assembly was also investigated. Impedance parameters of low-k ACF with Ni filler and low-k SiO2 filler extracted from measurement were compared with that of conventional ACF with only Ni filler. The resonant frequency of conventional ACF flip–chip interconnect was 13 GHz, while the resonant frequency of low-k ACF including low-k SiO2 filler was found at 15 GHz. This difference is originated from capacitance decrease of polymer matrix between bump and substrate pad due to change in dielectric constant of polymer matrix, which was verified by measurement-based modeling. The high-frequency property of the conductive adhesive flip–chip joint, such as resonant frequency can be enhanced by low-k polymer matrix.  相似文献   

13.
Hua-Min Li 《Thin solid films》2010,518(22):6382-6384
The deep trap properties of high-dielectric-constant (k) ZrO2 thin films were examined by deep level transient spectroscopy (DLTS). The hole traps of a ZrO2 dielectric deposited by sputtering were investigated in a MOS structure over the temperature range, 375 K-525 K. The potential depth, cross section and concentration of hole traps were estimated to be ∼ 2.5 eV, ∼ 1.8 × 10− 16 cm2 and ∼ 1.0 × 1016 cm− 3, respectively. DLTS of ZrO2 dielectrics can be used to examine the threshold voltage shift (?Vth) during the operation of SONOS-type flash memory devices, which employ high-k materials.  相似文献   

14.
Porous silica films with ultra low-k (below 2) and low leakage current densities (10− 8 A/cm2 or lower at an electric field of 1.8 MV/cm) were prepared by the surfactant-template method. Hexamethyldisilazane (HMDS), a surface modification agent, was utilized to yield hydrophobic groups on the surface of porous silica film to prevent the absorption of moisture. It effectively retained the low permittivity properties of the films. Thermal treatment at high temperature (> 350 °C) destroyed surface hydrophobic groups and generated hydrophilic groups (Si-OH), which replaced the surface Si(CH3)3 groups, and resulted in the absorption of moisture. However, Si-OH not only resulted in the absorption of moisture but also initiated the formation of trimethylsilyl groups on the surface by HMDS. When the damaged film is repaired by HMDS again, the k value falls to its initial value (which may be below 1.6). A denser hydrophobic low-k film is formed and the electrical properties are improved.  相似文献   

15.
S.K. Singh 《Thin solid films》2008,516(5):785-788
Hydrogenated amorphous silicon carbon (a-SiC:H) ultra thin films obtained by Hot wire chemical vapor deposition (HWCVD) have been shown to act as efficient diffusion barriers for copper on inter metal dielectric (IMD) layers which are of great significance for ultra-large scale integration (ULSI) circuits. In this work, we have studied the influence of the a-SiC:H barrier layer obtained by HWCVD which has implications towards issues related to the resistance to electromigration of Cu in the low dielectric (low-k) hydrogen silsesquioxane (HSQ) film. The presence of the ultra thin a-SiC:H film maintains the integrity of the Cu metal not only by suppressing Cu diffusion but also by increasing its crystallinity, which would have implications with respect to the mean time to failure (MTF) arising from metal electromigration. Though, we demonstrate this aspect on the low-k (HSQ)/Cu system, this should yield similar benefits for other low-k dielectric materials too.  相似文献   

16.
Biosynthesized metal (Ag) nanoparticles have been used to prepare high dielectric polymer composite film of technological importance. Different amounts of the tea leaf extract (E) (mother leaker prepared by soaking 2 g tea leaf in 100 ml boiled water for 3 min) were used to synthesize silver nanoparticles from 10−3 M AgNO3 solution. Such a resultant solution containing Ag nanoparticles was mixed with 20 ml PVA solution (5 g PVA in 100 ml water) was used to make anhydrous Ag/PVA composite film where spherical silver nanoparticles (AgNPs) of average diameter 10 nm are well dispersed in the composite. The Ag particle size in the composite was found to enhance with the increase of E content in PVA. XRD, SEM, TEM, FT-IR, UV–vis, TGA and DSC studies are made to characterize the nanoparticles. Detailed frequency and E concentration dependent electrical and dielectric properties of the nanocomposites have been made showing low loss (∼0.14) and high dielectric property of these films. Maximum value of dielectric permittivity (∼900 which is almost 170 times higher than that of pure PVA ∼ 5.2) have been observed for 15 ml E-AgNPs/PVA nanocomposite film at 1 kHz and room temperature. Present study establishes the importance of the biosynthesized metal nanoparticles for industrial applications as in capacitors.  相似文献   

17.
We report the fabrication of ZnO based thin-film transistors (TFTs) with high-k gate insulator of Ti-substituted Bi1.5ZnNb1.5O7 (BZN) films. (Bi1.5Zn0.5)(Zn0.4Nb1.43Ti0.3O7) film deposited on Pt/Ti/SiO2/Si substrate by pulsed laser deposition at room temperature exhibits high dielectric constant of 73 at 100 kHz, while BZN film shows much lower dielectric constant of 50, respectively. The increasing dielectric constant with increasing Ti substitution can be attributed to the presence of a highly polarizable TiO6 octahedra and its strong correlation with the NbO6 octahedra. All room temperature processed ZnO based TFTs using Ti-substituted BZN gate insulator exhibited filed effect mobility of 0.75 cm2/Vs and low voltage device performance less than 2.5 V.  相似文献   

18.
With semiconductor technologies continuously pushing the miniaturization limits, there is a growing interest in developing novel low dielectric constant materials to replace the traditional dense SiO2 insulators. In order to survive the multi-level integration process and provide reliable material and structure for the desired integrated circuits (IC) functions, the new low-k materials have to be mechanically strong and stable. Therefore the material selection and mechanical characterization are vital for the successful development of next generation low-k dielectrics. A new class of low-k materials, nanoporous pure-silica zeolite, is prepared in thin films using IC compatible spin coating process and characterized using depth sensing nanoindentation technique. The elastic modulus of the zeolite thin films is found to be significantly higher than that of other low-k materials with similar porosity and dielectric constants. Correlations between the mechanical, microstructural and electrical properties of the thin films are discussed in detail.  相似文献   

19.
《Materials Letters》2006,60(13-14):1579-1581
Low-density materials, such as the commercially available hydrogen silsesquioxane (HSQ) offer a low dielectric constant. Thus, HSQ with a low value of k (∼ 2.85) can be spin-coated if the density of Si–H bonding is maintained at a high level and the formation of –OH bonds and absorption of water in the film is minimized. O2 plasma exposure on HSQ film increases leakage current. Also the dielectric constant shows a significant increase after O2 plasma exposure. Another consequence of the O2 plasma exposure is the significant decrease in the contact angle of the HSQ surface, which is not desirable. In this paper, we demonstrate that the surface passivation by hydrogen followed by oxygen plasma treatment of HSQ film for 30 min each leads to a regain of leakage current density and dielectric constant. These results show that the H2 plasma treatment is a promising technique to prevent the damage in the commercially available and highly applicable low-k materials and it also increases the visibility of its use at the 0.1-μm technology. The more hydrophilic nature of the HSQ surface after O2 plasma exposure leads to an increased moisture absorption with a subsequent increase in the dielectric constant.  相似文献   

20.
Local deposition of SiOx was studied using an atmospheric pressure very-high-frequency (VHF) inductive coupling microplasma jet (AP-MPJ) from a tetraethoxysilane ((Si(OC2H5)4), TEOS) and oxygen mixture. The SiOx obtained showed the dielectric constant of 3.8 with a low leakage current of the order of ∼ 10− 6 A ·cm− 2 up to 8 MV ·cm− 1. Bottom-gated sputtered-ZnO thin-film transistors with a AP-MPJ SiOx as a gated dielectric layer exhibited a relatively high field-effect mobility of 24 cm2 V− 1 s− 1, a threshold voltage of 14 V and an on/off current ratio of ∼ 104, a performance comparable to that of thermal silicon dioxide. The TFT performance was also obtained for the top-gated ZnO-TFTs with a field-effect mobility of 1.4 cm2 ·V− 1 s− 1, a threshold voltage of − 1.9 V, and an on/off current ratio of ∼ 103.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号