首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
We have investigated the effects of annealing temperature on the physical and electrical properties of the HfO2 film deposited by an atomic layer deposition (ALD) method for high-k gate oxides in thin-film-transistors (TFTs). The ALD deposition of HfO2 directly on the Si substrate at 300 °C results in the formation of thin HfSixOy interfacial layer between Si and HfO2. The subsequent low temperature N2-annealing of HfO2 films (i.e., 300 °C) using a rapid thermal processor (RTP) improves the overall electrical characteristics of HfSixOy-HfO2 films. Based on the current work, we suggest that HfO2 film deposited by the ALD method is suitable for high-k gate oxides in TFTs, which have to be fabricated at low temperature.  相似文献   

2.
M.T. Yu 《Thin solid films》2008,516(7):1563-1568
We investigated the physical and electrical properties of Hf-Zr mixed high-k oxide films obtained by the oxidation and annealing of multi-layered metal films (i.e., Hf/Zr/Hf/Zr/Hf, ∼ 5 nm). We demonstrated that the oxidation of multi-layered metal films results in two distinctive amorphous layers: That is, Hf-Zr mixed oxide film was formed on the top of silicate film due to inter-diffusion between Hf and Zr layer. This film shows the improved dielectric constant (k) and the raised crystallization temperature. Compared with HfO2 and ZrO2 gate dielectric, the crystallization temperature of Hf-Zr mixed oxides was raised by more than 200 °C. Using AES and XPS, we observed that Zr oxide has more fully oxidized stoichiometry than Hf oxide, irrespective of annealing temperatures. We also found that the thickness of an interfacial layer located between Hf-Zr mixed oxide and Si substrate also increases as annealing temperature increases. Especially, the thin SiOx interfacial layer starts to form if annealing temperature increases over 700 °C, deteriorating the equivalent oxide thickness.  相似文献   

3.
Use of germanium as a storage medium combined with a high-k dielectric tunneling oxide is of interest for non-volatile memory applications. The device structure consists of a thin HfO2 tunneling oxide with a Ge layer either in the form of continuous layer or discrete nanocrystals and relatively thicker SiO2 layer functioning as a control oxide. In this work, we studied interface properties and formation kinetics in SiO2/Ge/HfO2(Ge) multilayer structure during deposition and annealing. This material structure was fabricated by magnetron sputtering and studied by depth profiling with XPS and by Raman spectroscopy. It was observed that Ge atoms penetrate into HfO2 layer during the deposition and segregate out with annealing. This is related to the low solubility of Ge in HfO2 which is observed in other oxides as well. Therefore, Ge out diffusion might be an advantage in forming well controlled floating gate on top of HfO2. In addition we observed the Ge oxidation at the interfaces, where HfSiOx formation is also detected.  相似文献   

4.
In this work, we report on effects of post-deposition annealing on electrical characteristics of metal–insulator–semiconductor (MIS) structures with HfO2/SiO2 double gate dielectric stacks. Obtained results have shown the deterioration of electro-physical properties of MIS structures, e.g. higher interface traps density in the middle of silicon forbidden band (Ditmb), as well as non-uniform distribution and decrease of breakdown voltage (Ubr) values, after annealing above 400 °C. Two potential hypothesis of such behavior were proposed: the formation of interfacial layer between hafnia and silicon dioxide and the increase of crystallinity of HfO2 due to the high temperature treatment. Furthermore, the analysis of conduction mechanisms in investigated stacks revealed Poole–Frenkel (P–F) tunneling at broad range of electric field intensity.  相似文献   

5.
We investigated the physical and electrical properties of high-k gate oxide formed by oxidizing multi-layered Hf and Al metal films. We demonstrated that oxidation of multi-layered metal films results in two distinctive amorphous layers: That is, Hf- and Al-doped metal oxide films were formed on the top of silicate film. The thickness of silicate layer and therefore equivalent oxide thickness (EOT) value were dependent on the number of metal films. To reduce the EOT value, higher number of metal layers is desirable. In addition, annealing has to be done at 600 °C to obtain the minimum value of EOT. Hysteresis phenomenon usually observed in high-k oxide was not observed in this work.  相似文献   

6.
Present paper looks into the possibilities and limitations of near ultraviolet-visible range spectroscopic ellipsometry in investigating HfO2 thin films (thickness < 7 nm). The “high k” dielectric films were produced by Atomic Layer Deposition—ALD, sputtering, and Metalo-Organic Chemical Vapour Deposition—MOCVD, on silicon and on silicon/silicon dioxide structures. Using a simple optical model (Cauchy dispersion, with an Urbach absorption tail), suitable for the optical range investigated, we extract the thickness of the layers and their optical constants. Results related to the optical properties show the important impact made by the initial surface and the growth/deposition procedure. It is also shown that for the case of ALD HfO2 films grown on RTO oxides a significant increase in the absorption coefficient is recorded in the 4.7-5.15 eV range; this can be linked with the formation of defects related to oxygen vacancies. Subsequent anneal cycles performed in oxygen reveal that changes do occur both at the transition layer level, and in the structure of the HfO2 film, for which an increase in the absorption is recorded.  相似文献   

7.
A TEOS/O2 supermagnetron double electrode plasma system was used to deposit SiO2 films. Deposition rates were measured as a function of rf power and substrate stage temperature. With an increase of rf power on both electrodes from 40 to 80W, the deposition rate increased; however, with a further increase of rf power from 80 to 120W, the deposition rate ceased to increase or decreased only a small amount. The presence of O-H bonds from bonded water in the film was evaluated using buffered HF (BHF) etching solution. With an increase of rf power from 40 to 120W, the BHF etch rate decreased; i.e., the number of O-H bonds were reduced. A minimum BHF etch rate was observed at a rf phase difference of 180° between the two rf power sources. A SiO2 film was deposited on a trench-patterned quartz substrate. A flat surface SiO2 layer with air gaps (voids) was formed on the high-aspect ratio (depth/width=1.5-2) trench area.  相似文献   

8.
Thin HfO2 films were grown as high-k dielectrics for Metal-Insulator-Metal applications by Atomic Vapor Deposition on 8 inch TiN/Si substrates using pure tetrakis(ethylmethylamido)hafnium precursor. Influence of deposition temperature (320-400 °C) and process pressure (2-10 mbar) on the structural and electrical properties of HfO2 was investigated. X-ray diffraction analysis showed that HfO2 layers, grown at 320 °C were amorphous, while at 400 °C the films crystallized in cubic phase. Electrical properties, such as capacitance density, capacitance-voltage linearity, dielectric constant, leakage current density and breakdown voltage are also affected by the deposition temperature. Finally, TiN/HfO2/TiN stacks, integrated in the Back-End-of-Line process, possess 3 times higher capacitance density compared to standard TiN/Si3N4/TiN capacitors. Good step coverage (> 90%) is achieved on structured wafers with aspect ratio of 2 when HfO2 layers are deposited at 320 °C and 4 mbar.  相似文献   

9.
In this work, HfO2 nanoparticles (np-HfO2) are embedded within a spin-on glass (SOG)-based oxide matrix and used as a charge trapping layer in metal–oxide–high-k–oxide–silicon (MOHOS)-type memory applications. This charge trapping layer is obtained by a simple sol–gel spin coating method after using different concentrations of np-HfO2 and low temperature annealing (down to 425 °C) in order to obtain charge–retention characteristics with a lower thermal budget. The memory's charge trapping characteristics are quantized by measuring both the flat-band voltage shift of MOHOS capacitors (writing/erasing operations) and their programming retention times after charge injection while correlating all these data to np-HfO2 concentration and annealing temperature. Since a large memory window has been obtained for our MOHOS memory, the relatively easy injection/annihilation (writing/erasing) of charge injected through the substrate opens the possibility to use this material as an effective charge trapping layer. It is shown that by using lower annealing temperatures for the charge trapping layer, higher densities of injected charge are obtained along with enhanced retention times. In conclusion, by using np-HfO2 as charge trapping layer in memory devices, moderate programming and retention characteristics have been obtained by this simple and yet low-cost spin-coating method.  相似文献   

10.
Sol-gel as a versatile method for the preparation of oxide ceramics was used to prepare the delafossite-structured p-type CuAlO2 ceramics. The results showed that mechanical milling is necessary to prepare pure CuAlO2 ceramics using short annealing periods, and the particle size of CuAlO2 ceramics prepared from the powders with mechanical milling procedures was smaller than that derived from powders without mechanical milling. The prepared CuAlO2 ceramics behaved like semiconductors and the thermally activated energy near room temperature was about 0.175 eV.  相似文献   

11.
β-FeSi2 films were prepared on non-silicon substrates by sputtering. The crystalline growth, stress induced cracks and adhesive ability to the substrate were investigated on substrate temperature and thermal expansion coefficient of substrate materials. It was found that crack formation in β-FeSi2 films was dependent on the thermal expansion coefficients of CaF2, MgO and quartz glass insulating materials. High-density cracks were observed from β-FeSi2 films on CaF2 and quartz glass substrates with large difference of the thermal expansion coefficient between β-FeSi2 film and substrate materials, and it was crack-free on MgO substrate with a thermal expansion coefficient close to that of β-FeSi2 films. Polycrystalline β-FeSi2 films grew on Mo, Ta, W, Fe and stainless steel (SS) substrates at low substrate temperature around 400 °C. There was no α-FeSi2 phase confirmed in the films. All the films had continuous structures without noticeable cracks even though they have different thermal expansion coefficients. Capacity-voltage measurements showed that β-FeSi2 films formed on SS substrates has n-type conductivity, with residual carrier concentrations of about 1.3∼6.4 × 1018 cm− 3. Auger electron spectroscopy depth profile measurements identified homogeneous distribution of Fe and Si atoms in the film region, but with a large interface region between the film and the substrate.  相似文献   

12.
Kibyung Park 《Thin solid films》2010,518(15):4126-6377
HfO2 films are not easily deposited on hydrophobic self-assembled monolayer (SAM)-passivated surfaces. However, in this study, we deposited HfO2 films on a tetradecyl-modified SAM with a Ge surface using atomic layer deposition at 350 °C. A slightly thinner HfO2 film thickness was obtained on the tetradecyl-modified SAM passivated samples than that typically obtained on GeOx-passivated samples. The resulting electrical properties are explained by the physical thickness and stoichiometry of the interfacial layer.  相似文献   

13.
Micrometric Zn1.8Mn0.2SiO4 phosphor powders prepared by spray pyrolysis have been annealed between 900 and 1200 °C under ambient air atmosphere to investigate their luminescence properties. Two original gas-solid fluidization processes have been tested in order to limit sintering phenomena, and the post-treated products have been compared with those annealed using a conventional process in crucible. The crystallinity, the size distribution, the outer morphology and the luminescence properties of powders before and after treatment have been analysed. Massive sintering phenomena occur in crucible from 1000 °C, whereas the original granulometry and spherical morphology are preserved till 1100 °C in fluidized bed. The luminescence efficiencies are comparable for the three processes and shown to be maximal after annealing at 1200 °C. It has been established that residual ZnO and manganese ions at oxidation state higher than 2+, still present after treatment at 1100 °C, are detrimental to good luminescence efficiency. Both disappear from samples post-treated at 1200 °C.  相似文献   

14.
ZnO-SnO2 thin films were deposited on microscope glass substrates by filtered vacuum arc deposition system. The effects of deposition conditions on film characteristics were studied using cathodes prepared with three different ratios of atomic concentrations of Zn to Sn. The micro and the macro properties of the films were investigated as a function of cathode composition, arc current, background oxygen deposition pressure, and deposition time. X-ray diffraction analysis indicated that deposited films were amorphous, independent of the cathode composition. The atomic concentration ratio of Zn to Sn in the film as determined by XPS analysis were 33.9%: 10.6%, 43.9%: 3.8%, 44.7%: 4.7% for 50%: 50%, 70%: 30% and 90%: 10% Zn-Sn alloy cathodes, respectively. Film transmission in the visible was 70 to 90%, affected by interference effects. The maximal and minimal values of the refractive index n and the absorption coefficient k in the visible were 2.11 to 1.94 and 0.07 to 0.001, respectively. The optical band gap was in the range of 3.13 to 3.59 eV. All films were highly resistive independent of deposition conditions used.  相似文献   

15.
Oxygen plasma treatment process was used to passivate the non-stoichiometric HfO2 films deposited by magnetron sputtering. After optimal oxygen plasma treatment, the gate leakage of HfO2 films would be reduced and dielectric breakdown voltage would be improved to 30 percentage. XPS spectrum was used to analysis the non-stoichiometric HfO2 films after oxygen plasma treatment which demonstrate a higher concentration of incorporated oxygen atoms at the surface in comparison to the bulk HfO2. This simple method can maintain high-k dielectric deposition process at room temperature by sputtering. It would be useful for fabrication thin film transistor on polymer based substrate in the future.  相似文献   

16.
Chemical/mechanical polishing can be used to polish the rough surface of diamond films prepared by chemical vapor deposition (CVD). In this paper, a mixture of oxidizing agents (LiNO3 + KNO3) has been introduced to improve the material removal rate and the surface roughness in chemical/mechanical polishing because of its lower melting point. It had been shown that by using this mixture the surface roughness Ra (arithmetic average roughness) could be reduced from 8-17 to 0.4 μm in 3 h of polishing, and the material removal rate can reach 1.7-2.3 mg/cm2/h at the temperature of 623 K. Pure aluminium is compared with cast iron as the contact disk material in the polishing. Although the material removal rate of aluminiumdisk is lower than that of cast iron, it can eliminate the carbon contamination from the contact disk to the surface of diamond films, and facilitate the analysis of the status of diamond in the chemical/mechanical polishing. The surface character and material removal rate of diamond films under different polishing pressure and rotating speed have also been studied. Graphite and amorphous carbon were detected on the surface of polished diamond films by Raman spectroscopy. It has been found that the oxidization and graphitization combined with mechanical cracking account for the high material removal rate in chemical/mechanical polishing of diamond films.  相似文献   

17.
Etching characteristics and the mechanism of HfO2 thin films in Cl2/Ar inductively-coupled plasma were investigated. The etch rate of HfO2 was measured as a function of the Cl2/Ar mixing ratio in the range of 0 to 100% Ar at a fixed gas pressure (6 mTorr), input power (700 W), and bias power (300 W). We found that an increase in the Ar mixing ratio resulted in a monotonic decrease in the HfO2 etch rate in the range of 10.3 to 0.7 nm/min while the etch rate of the photoresist increased from 152.1 to 375.0 nm/min for 0 to 100% Ar. To examine the etching mechanism of HfO2 films, we combined plasma diagnostics using Langmuir probes and quadrupole mass spectrometry with global (zero-dimensional) plasma modeling. We found that the HfO2 etching process was not controlled by ion-surface interaction kinetics and formally corresponds to the reaction rate-limited etch regime.  相似文献   

18.
ZnO films with c-axis (0002) orientation have been grown on SiO2/Si substrates with an Al2O3 buffer layer by radio frequency magnetron sputtering. Crystalline structures of the films were investigated by X-ray diffraction, atomic force microscopy and scanning electron microscopy. The center frequency of the surface acoustic wave (SAW) device with a 4.8 μm thick Al2O3 buffer layer was measured to be about 408 MHz, which was much higher than that (265 MHz) of ZnO/SiO2/Si structure and approaches that (435 MHz) of ZnO/sapphire. It is a possible way as an alternative for the sapphire substrate for the high frequency SAW device applications, and is also useful to integrate the semiconductor and high frequency SAW devices on the same Si substrate.  相似文献   

19.
Atomic layer deposition was applied to fabricate metal oxide films on planar substrates and also in deep trenches with appreciable step coverage. Atomic layer deposition of Ru electrodes was realized on planar substrates. Electrical and structural behaviour of HfO2-TiO2 and Al2O3-TiO2 nanolaminates and mixtures as well as Al2O3 films were evaluated. The lowest leakage current densities with the lowest equivalent oxide thickness were achieved in mixed Al2O3-TiO2 films annealed at 700 °C, compared to all other films in as-deposited state as well as annealed at 900 °C. The highest permittivities in this study were measured on HfO2-TiO2 nanolaminates.  相似文献   

20.
The ceramics with 0.90Pb(Zr0.50Ti0.50)O3-0.07Pb(Mn1/3Nb2/3)O3-0.03Pb(Ni1/2W1/2)O3 were prepared by adding Cr2O3. The effects of Cr2O3 doping on the phase structure, the microstructure and the electrical properties of ceramics were investigated. Meanwhile, the temperature stabilities of the resonant frequency (fr) and the electromechanical coupling factor (Kp) were studied. The results showed that the better temperature stability could be obtained at x = 0.2 wt.% when the calcining temperature was 800 °C and the sintering temperature was 1150 °C. The parameters were Δfr/fr25 °C = −0.17% and ΔKp/Kp25 °C = −1.39%. Moreover, the optimized electrical properties were also achieved, which were KP = 0.54, Qm = 1730, d33 = 330 pC/N, ?r = 2078 and tan δ = 0.0052. The optimized properties make the ceramics with this composition to be a good candidate for high power piezoelectric transformers applications.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号