首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 562 毫秒
1.
S.W. Kim  D.L. Choi 《Materials Letters》2010,64(18):1975-1977
Nanocrystalline silicon was successfully fabricated using conventional plasma enhanced chemical vapor deposition (PECVD) for bottom gate thin film transistor. This was accomplished by promoting nucleation rate in the initial stage of silicon growth by H2 or SF6 plasma treatment of the surface of silicon nitride (SiNx) films. Microstructure of hydrogenated nanocrystalline silicon (nc-Si:H) films confirmed the crystallization of silicon, and nanocrystalline silicon thin film transistor exhibited excellent stability.  相似文献   

2.
In this work we present a detailed investigation of Si surface passivation obtained by a PECVD double dielectric layer, composed of intrinsic hydrogenated amorphous silicon-carbon (a-SiCx:H), followed by a silicon nitride (SiNx). The double layers have been deposited on p- and n-type of mono- and multi-crystalline silicon wafers. IR spectra have been carried out to evaluate the structure of a-SiCx:H layers on monocrystalline wafers. The passivation effects have been studied performing the following measurements: the photoconductance decay, to measure contactlessly the effective lifetime of passived mono and multi Si wafers; the capacitance voltage profile of Al/SiNx/Si, Al/a-SiCx:H/Si and Al/SiNx/a-SiCx:H/Si MIS structures, to estimate the field effect at the dielectric/silicon interface and individuate the passivation mechanism on silicon surfaces. It has been found that the mechanism of the surface passivation depends on the doping type of the silicon wafer. Indeed from C-V measurements it has been realized that the great amount of positive charge within the SiNx is able to promote an inversion layer if it is deposited on a-SiCx:H/Si p-type and an accumulation if it is grown on a-SiCx:H/Si n-type.  相似文献   

3.
Barriers for the diffusion of silicon and carbon adatoms on an ideal (nonreconstructed) Si(111) surface and at silicon carbide (SiC) clusters of various sizes occurring on this surface have been determined using molecular dynamics simulations with a many-body Tersoff potential.  相似文献   

4.
The development of relief inhomogeneity of the surrounding surface near (111)Si by thermal heating in an UHV oil pumped and gettered system has been studied. The essential features of the surface relief are discussed in connection with pre-epitaxial preparation of the substrate surface used for growing Si films by sputtering. The initial growth stage of layers 25, 65, 240 and 480 Å thick at a substrate temperature of 840°C is illustrated.It is shown that the formation of growth macrosteps is due to a complex system of sites for adatoms on the original Si surface because of the presence of etch micropits and silicon carbide particles. The conditions for formation of a crystallographic system of microsteps (with height of atomic order) on Si surfaces in the absence of etch pits and silicon carbide particles are analysed. The adsorption parameters and surface diffusion coefficient of adatoms are estimated.  相似文献   

5.
Hot-wire chemical vapor deposition (HWCVD) and plasma-enhanced chemical vapor deposition (PECVD) of Si thin films show different growth kinetic processes. According to the fractal analysis, the root-mean-square surface roughness δ and the film thickness d have the relation of δ ∼ dβ, where β is the dynamic scaling exponent related to the film growth mechanism. It was found that β is 0.44 for Si films prepared by HWCVD and 0.24 by PECVD. The former refers to a stochastic deposition while the latter corresponds to the finite diffusion of the radicals. Monte Carlo simulations indicate that the sticking process of growth radicals play an important role in determining the morphology of Si films.  相似文献   

6.
Using molecular dynamics simulations, the size-dependent Young's moduli of silicon nanoplates due to surface effects are investigated at intrinsic scale. The transformations of surface reconstructions are discussed in terms of the difference between the total strain energy densities (uT) of the system from the MD and the specified strain energy densities (uC). An analytical prediction for the effective Young's modulus is derived for the intrinsic scale specimens, and it agrees well with MD simulations.  相似文献   

7.
《Vacuum》1998,51(4):751-755
Very High Frequency (VHF) plasma enhanced chemical vapour deposition (PECVD) has been applied to hydrogenated amorphous silicon (a-Si:H) and hydrogenated amorphous silicon nitride (a-SiNx:H) films for thin film transistors (TFTs) fabrication. The effect of the excitation frequency on the deposition rate and the film quality of both films has been investigated. The films were prepared by VHF (30 MHz∼50 MHz) and HF (13.56 MHz) plasma enhanced CVD.High deposition rates were achieved in the low pressure region for both a-Si:H and a-SiNx:H depositions by the use of VHF plasma. The maximum deposition rates were 180 nm/min for a-Si:H at 50 MHz and 340 nm/min for a-SiNx:H at 40 MHz. For a-SiNx:H films deposited in VHF plasma, the optical bandgap, the hydrogen content and the [Si–H]/[N–H] ratio remain almost constant regardless of an increase in deposition rate. The increase of film stress could be limited to a lower value even at a high deposition rate. The TFTs fabricated with VHF PECVD a-Si:H and a-SiNx:H films showed applicable field effect mobility. It is concluded that VHF plasma is useful for high rate deposition of a-Si:H and a-SiNx:H films for TFT LCD application.  相似文献   

8.
《Thin solid films》1987,151(2):263-273
We calculate the height of the average surface potential barrier for the incorporation of various reactive species (hydrogen and silicon atoms, SiH2, SiH3 and SiH4) in films of hydrogenated amorphous silicon deposited by glow discharge decomposition of silanes. A local amorphous configuration for the surface is constructed through a representative cluster (Si29H10) containing rings of five, six or seven silicon atoms. Pairwise superposition of interatomic potentials is assumed for the interaction of the molecular complex and the surface. An estimate of the dissociative force along Si-H bonds is also presented as a function of the distance from the surface.  相似文献   

9.
Hydrogenated amorphous silicon (a-Si H) films deposited on crystalline silicon substrates using the DC saddle field (DCSF) plasma enhanced chemical vapor deposition (PECVD) system have been investigated. We have determined the complex dielectric function, ε(E) = ε1(E) + 2(E) for hydrogenated amorphous silicon (a-Si:H) thin films by spectroscopic ellipsometry (SE) in the 1.5-4.5 eV energy range at room temperature. The results indicate that there is a change in the structure of the a-Si:H films as the thickness is increased above 4 nm. This is attributed to either an increase in the bonded hydrogen content and, or a decrease of voids during the growth of a-Si:H films. The film thickness and deposition temperature are two important parameters that lead to both hydrogen content variation and silicon bonding change as well as significant variations in the optical band gap. The influence of substrate temperature during deposition on film and interface properties is also included.  相似文献   

10.
Plasma-surface interactions in Cl- and Br-based plasmas have been studied for advanced front-end-of-line (FEOL) etching processes in nanoscale ULSI device fabrication. A Monte Carlo-based atomic-scale cellular model (ASCeM) was developed to simulate the feature profile evolution on nanometer scale during Si etching in Cl2 and Cl2/O2 plasmas, including surface oxidation, inhibitor deposition, and ion reflection and penetration on surfaces. A classical molecular dynamics (MD) simulation for Si/Br and Si/HBr as well as Si/Cl systems was also developed, along with an improved Stillinger-Weber interatomic potential model for Si/halogen interactions, to clarify surface reaction kinetics on atomic scale during Si etching in Cl2 and HBr plasmas. The numerical results revealed the origin of profile or surface anomalies such as microtrench, roughness, and residue, and also etching fundamentals such as etch yield, product stoichiometry, and atomistic surface structures. Moreover, the etching of high-k dielectric and metal electrode materials, such as HfO2 and TaN, was investigated in BCl3- and Cl2-containing plasmas with and without rf biasing, to gain an understanding of the etch mechanisms and to achieve anisotropic and selective etching of metal/high-k gate stacks.  相似文献   

11.
《Nanostructured Materials》1998,10(2):257-265
We have observed visible photo-luminescence (PL) from an oxidized hydrogenated nanocrystalline silicon (nc-Si:H) film which was prepared in a plasma enhanced chemical vapor deposition (PECVD) system and post-treated by thermal oxidization processes. At low oxidization temperature (Tox) below 500 °C, silicon oxyhydrides and silicon oxides are formed at the surface of grains; while at high Tox above 500 °C, the surface of grains is covered by α-SiO2. PL around 650 nm-750 nm is observed as Tox ranges from 100 °C to 700 °C during which the grain size (dc) varies from 2.7 nm to 5.1 nm. At Tox > 700 °C, the dc is larger than 5.1 nm and PL peak shifts to 920 nm. The quantum size effect and surface states model was employed to explain our experimental results.  相似文献   

12.
Controlled deposition of clusters on solid surfaces has attracted lots of attention in recent years, because of its potential application to tailoring the desired electronic properties of the resulting surfaces. We have carried out an atomic-scale study to understand the deposition mechanism. The molecular dynamics approach based on a modified Tersoff potential is used to simulate the deposition mechanism of hydrogenated silicon clusters on a crystalline silicon surface in detail. The important factors governing the deposition process such as impact energy and substrate temperature, are investigated for the hydrogenated silicon cluster Si29H24 on a H-terminated Si(100)-(2x1) surface.  相似文献   

13.
Monitoring of the electrical resistance of the Ta catalyst during the hot wire chemical vapor deposition (HWCVD) of thin silicon films gives information about filament condition. Using Ta filaments for silane decomposition not only the well known strong changes at the cold ends, but also changes of the central part of the filament were observed. Three different phenomena can be distinguished: silicide (stoichiometric TaXSiY alloys) growth on the filament surfaces, diffusion of Si into the Ta filament and thick silicon deposits (TSD) formation on the filament surface. The formation of different tantalum silicides on the surface as well as the in-diffusion of silicon increase the filament resistance, while the TSDs form additional electrical current channels and that result in a decrease of the filament resistance. Thus, the filament resistance behaviour during ageing is the result of the competition between these two processes.  相似文献   

14.
A variety of defect healing methods was analyzed for optimization of polycrystalline silicon (poly-Si) thin-film solar cells on glass. The films were fabricated by solid phase crystallization of amorphous silicon deposited either by plasma enhanced chemical vapor deposition (PECVD) or by electron-beam evaporation (EBE). Three different rapid thermal processing (RTP) set-ups were compared: A conventional rapid thermal annealing oven, a dual wavelength laser annealing system and a movable two sided halogen lamp oven. The two latter processes utilize focused energy input for reducing the thermal load introduced into the glass substrates and thus lead to less deformation and impurity diffusion. Analysis of the structural and electrical properties of the poly-Si thin films was performed by Suns-VOC measurements and Raman spectroscopy. 1 cm2 cells were prepared for a selection of samples and characterized by IV-measurements. The poly-Si material quality could be extremely enhanced, resulting in increase of the open circuit voltages from about 100 mV (EBE) and 170 mV (PECVD) in the untreated case up to 480 mV after processing.  相似文献   

15.
We explore the (near-)interface structure of amorphous/crystalline silicon (a-Si:H/c-Si) heterojunctions as employed in high-efficiency heterojunction solar cells. We make use of secondary-ion-mass-spectroscopy profiles and minority carrier lifetime measurements taken on undoped deuterated amorphous silicon [(i)a-Si:D] layers deposited on c-Si from deuterated silane at identical conditions as the hydrogenated layers we have analyzed previously [T. F. Schulze et al., Appl. Phys. Lett. 96 (2010) 252102]. We briefly discuss the implications of the local interface structure for the c-Si surface passivation as well as for the heterojunction band offsets, and identify a route towards optimization of (i)a-Si:H layers as passivating buffers in a-Si:H/c-Si high-efficiency heterojunction solar cells.  相似文献   

16.
C.Y. Ma  Q.Y. Zhang 《Vacuum》2008,82(8):847-851
In this work, the interfacial layer growth for both as-deposited and annealed ZrO2 thin films on silicon is analyzed in detail by the high-resolution cross-sectional transmission electron microscope and spectroscopic ellipsometry. For as-deposited ZrO2/SiO2/Si, the thickness of a SiO2-like layer at the silicon interface was found to depend on the oxygen partial pressure during deposition. At oxygen partial pressure ratio of above 50% the interfacial silicon oxide thickness increased through oxygen diffusion through the ZrO2 film and silicon consumption at the interface. At oxygen partial pressure ratio in the range 7-50%, the visible growth of interfacial silicon oxide layer was not present. The interfacial layer for ZrO2/Si with optimal partial pressure (15%) during annealing at 600 °C was found to be the two-layer structure composed of the ZrSixOy overlayer and the SiOx downlayer. The formation of the interfacial layer is well accounted for diffusion mechanisms involving Si indiffusion and grain-boundary diffusion.  相似文献   

17.
Plasma enhanced chemical vapor deposition (PECVD) has a wide range of interest for thin films up to some μm thickness. It has widespread applications for high quality dielectric and semiconducting silicon alloys at deposition temperatures below 450 °C and pressures at 1 mbar on plane substrates and attracts growing attention for the surface modification of polymers. The PECVD takes advantages of the possibility to alter the film properties in a wide range easily, and the coatings can achieve a variety of useful properties unobtainable by other coating techniques. An environmentally friendly plasma chemical reactor etch cleaning of SiOx, SiNx and other film materials can be applied by changing the process gas and without breaking the vacuum. PECVD can be used in a fixed substrate and continuous substrate flow mode. An capacitively coupled parallel‐plate electrode assembly using radio‐frequency (RF) excitation of the discharge is most widely used for substrate areas up to a few square meters. Among the capacitively excitation an inductively and electromagnetically excitation at frequencies in the RF and UHF range has also succeeded in achieving a high rate PECVD. Two applications are presented to show the characteristics and the potential of this technique, the PECVD of semiconducting hydrogenated amorphous silicon, intrinsic or doped, with low power densities using monosilane as a source gas for solar cells, thin films transistors and digital image sensors and the plasma polymerisation of organosilicon protection layers employing the HMDSO monomer and high power densities for mirrors and lenses.  相似文献   

18.
The structure of a thin film deposited using tetrakis-(dimethylamino)-titanium (Ti(N(CH3)2)4) as a precursor onto a Si(100)-2 × 1 substrate at ultra-high-vacuum conditions was investigated as a function of film thickness for the films of 20 and 145 nm in the presence of surface copper and fluorine produced by in situ dosing of a common copper deposition precursor, (hexafluoroacetylacetonate)Cu(vinyltrimethylsilane), (hfac)Cu(VTMS), and a hydrogenated form of the hfac ligand, 1,1,1,5,5,5-hexafluoro-2,4-pentanedione, hfacH. A combination of surface, depth-profiling, and microscopy analytical techniques suggests that the structure of the titanium carbonitride film depends profoundly on its thickness. While the composition of the film was relatively constant throughout its whole thickness, the nanometer-scale structure changed from amorphous at the top of a 145-nm-thick film, to having a significant amount of small (∼ 5 nm) crystallites closer to the TiCN/Si interface. These studies also confirmed the absence of microfractures in the film prepared by this approach. The ex situ depth profiling investigation suggested that if (hfac)Cu(VTMS) is deposited on a TiCN-precovered silicon substrate and briefly annealed to 800 K, the film acts as a diffusion barrier for copper, while surface fluorine penetrates the film rather easily, resulting in fluorine that is distributed uniformly throughout the film.  相似文献   

19.
A. Ko?odziej  P. Krewniak  C.R. Wronski 《Vacuum》2008,82(10):1137-1140
Phase diagrams have been studied to describe the RF PECVD process for intrinsic-hydrogenated silicon Si:H and silicon-low germanium alloy a-Si1−xGex:H thin films using textured Al substrates that have been overdeposited with n-type amorphous Si:H (n+ a-Si:H). UV, vis, IR, atomic force microscopy (AFM), Raman spectroscopy, small angle X-ray and cross-section transmission electron microscopy (TEM) are used to establish the phase diagram. The a-Si:H, a-Si1−xGex and μc-Si:H processes are applied for optimization of triple-junction thin silicon-based n-i-p solar cells.  相似文献   

20.
Nitrogen incorporated hydrogenated amorphous carbon (a-C:N:H) films were grown in an asymmetric rf PECVD system using C2H2 and N2 gaseous mixture. Deposition rate, stress, hardness, optical bandgap, refractive index, and electrical characteristics have been studied as a function of self bias. Microstructures of these films were also studied using LASER Raman technique. Finally nitrogen diluted a-C:H films were realized as n-type semiconductor in n-type a-C:H/p-type crystalline silicon hetrojunction diodes. Current-voltage (I-V) and capacitance-voltage (C-V) characteristics have also been studied as a function of self bias on these heterojunction diodes.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号