首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 512 毫秒
1.
N2 and NO gas addition to F2/Ar remote plasmas during chemical dry etching (CDE) of low-k SiOCH layer was effective in increasing the etch rate, but the addition of O2 decreased the etch rate. And, the injection of NO gas directly into the reactor increased the SiOCH etch rate most significantly. The addition of N2 or NO gas contributes to an effective removal of oxygen in the SiOCH layer, by forming NO2 and HNO3 by-products, and of carbon species in the SiOCH layer by forming CF4 by-product, which leads to enhancement of SiF4 formation and in turn increase in the SiOCH etch rate.  相似文献   

2.
p-Type cubic silicon carbide was anodically etched using an electrolyte of HF:HCl:H2O. The etching depth was determined versus time with a fixed current density of 96.4 mA cm–2. It was found that the etching was very smooth and very uniform. An etch rate of 22.7 nm s–1 was obtained in a 1:1:50 HF:HCl:H2O electrolyte.  相似文献   

3.
Etch characteristics of CoFeB magnetic thin films patterned with TiN hard masks were investigated using inductively coupled plasma reactive ion etching in H2O/Ar and H2O/CH4 gas mixes. As the H2O concentration in the H2O/Ar gas increased, the etch rates of CoFeB and TiN films decreased simultaneously, while the etch selectivity increased and etch profiles improved slightly without any redeposition. The addition of CH4 to the H2O gas resulted in an increase in etch selectivity and a higher degree of anisotropy in the etch profile. X-ray photoelectron spectroscopy was performed to understand the etch mechanism in H2O/CH4 plasma. A good pattern transfer of CoFeB films masked with TiN films was successfully achieved using the H2O/CH4 gas mix.  相似文献   

4.
In this paper, a wet chemical etching technique to selectively etch tantalum thin film in sodium hydroxide and potassium hydroxide based solutions was developed. Tantalum thin films were deposited by a DC-magnetron sputtering technique on silica and yttria-stabilized zirconia (YSZ) substrates. After deposition, the films were etched in hot NaOH/ H2O2 and KOH/H2O2 based solutions with Au/Cr film as a hard mask. The etch rate was studied as a function of temperature and concentration of the etchants.  相似文献   

5.
Kinetics of etching of MgO crystals have been studied in H2SO4, HNO3 and HCl. The effects of etching time, acid concentration and temperature on the growth of hillocks, on the selective etch rate and on the rate of overall dissolution are demonstrated. It is observed that etch rates are independent of time, but are determined by the temperature and concentration of the acid. The etch rate-concentration curves show maxima which are characteristic of an acid. The values of activation energy for the processes of dissolution, selective etching and hillock growth and the corresponding frequency factors are computed. It is established that the process of dissolution in concentrated H2SO4 is diffusion controlled, while in H2SO4 with concentrations below 18 N and in HNO3 and HCl it is reaction rate controlled. The pre-exponential factor is found to be a function of acid concentration. The results are discussed from the standpoint of chemistry. A comment on the data published on MgO by previous workers is made.  相似文献   

6.
Using a generalized regression neural network (GRNN), plasma etching of oxynitride thin films was modeled. The etch process was characterized by means of a statistical experiment. A genetic algorithm was employed to improve prediction performance by optimizing multiparameterized training factors. Compared to a conventional GRNN model, the constructed etch rate model demonstrated an improvement of about 60% in the prediction performance. 3-D plots were generated to qualitatively interpret etch mechanisms while validating the predictions with experimental data. In separating physical and chemical effects, both dc bias and profile angle variations were effectively utilized. The source power affected significantly the etch rate irrespective of changes in the bias power or C2F6 flow rate. For pressure variations, the etch rate was estimated to be dominated by chemical etching. The complex effect of C2F6 flow rate could be explained by dominant chemical etching or polymer deposition.  相似文献   

7.
Amorphous carbon layers (ACLs) were prepared by plasma enhanced chemical vapor deposition (PECVD) from 1-hexene (C6H12) and propylene (C3H6) as a carbon source at different temperatures for dry etch hard mask of semiconductor devices manufacturing process. The deposition rate of ACL deposited at 550 °C from C6H12 and C3H6 was 5050 Å/min and 6360 Å/min. Although the deposition rate of ACL deposited from C6H6 was lower than that from C3H6, normalized deposition rate of ACL deposited from C6H12 was 1.64 times higher than that from C3H6. The relative amount of hydrocarbon contents measured by FTIR (Fourier transformation infrared) and TDS (thermal desorption spectroscopy) was decreased with the increase of deposition temperature. Raman results showed that the numbers and size of graphite cluster of ACLs deposited from each source were increased with the increase of deposition temperature. The extinction coefficient of ACL deposited at 550 °C from C6H12 was 0.51 and that from C3H6 was 0.48. The density of ACL deposited at 550 °C from C6H12 was 1.48 g/cm3 and that from C3H6 was 1.45 g/cm3. The dry etching rate of ACL deposited at 550 °C from C6H12 was 1770 Å/min and that from C3H6 was 1840 Å/min. The deposition rate, dry etch rate and the amount of hydrocarbon contents of ACLs deposited from each carbon source were decreased with the increase of deposition temperature but extinction coefficient and density were increased with the increase of deposition temperature. We concluded that the variation behavior of the deposition characteristics and film properties of ACLs from C6H12 with the increase of deposition temperature was the same as those of ACLs from C3H6. The high density and low dry etch rate of ACL from C6H12 can be explained by less hydrocarbon incorporation during deposition and these properties are more favorable for the dry etch hard mask application in semiconductor device fabrication.  相似文献   

8.
In this study, an Si/PEOX/SiCN/SiOCH/SiCN multilayered film stack has been prepared by chemical vapor deposition. The bonding configurations between porous SiOCH film and SiCN etch stop layers have been analyzed by X-ray photoelectron spectroscopy, and the interface adhesion has been investigated by nanoindentation and nanoscratch tests. Elements of Si, C, N, and O constructed an interlayer region of about 10 nm with mixing bonds of Si to C, Si to N and Si to O at the interface between the porous SiOCH film and SiCN layers. During nanoindentation and nanoscratch tests, interface delamination occurred between SiOCH and SiCN layers due to the accumulation of sufficient shear stresses around the indented regions. The interface adhesion energy was accordingly measured as 1.68 J/m2 by nanoindentation test with an applied load of 30 mN. With higher applied loads, the measured interface adhesion energy decreased. By the nanoscratch test, the interface adhesion energy was measured as about 0.91 J/m2, lower than that obtained by nanoindentation test due to the mode mixity effect.  相似文献   

9.
D.Y. Kim 《Thin solid films》2008,516(11):3512-3516
Under certain conditions during ITO etching using CH4/H2/Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity.  相似文献   

10.
M.H. Shin  S.H. Jung  N.-E. Lee 《Thin solid films》2007,515(12):4950-4954
Effect of doping elements on the etching characteristics of doped-ZnO (Ag, Li, and Al) thin films, etched with a positive photoresist (PR) mask, and an etch process window for infinite etch selectivity were investigated by varying the CH4 flow ratio and self-bias voltage, Vdc, in inductively coupled CH4/H2/Ar plasmas. Increased doping of ZnO films decreased the etch rates significantly presumably due to lower volatility of reaction by-products of doped Li, Ag, and Al in CH4/H2/Ar plasmas. The etch rate of AZO (Al-doped ZnO) was most significantly decreased as the doping concentration is increased from 4 to 10 wt%. It was found that process window for infinite etch selectivity of the doped ZnO to the PR is closely related to a balance between deposition and removal processes of a-C:H (amorphous hydrogenated carbon) layer on the doped-ZnO surface. Measurements of optical emission of the radical species in the plasma and surface binding states by optical emission spectroscopy (OES) and X-ray photoelectron spectroscopy (XPS), respectively, implied that the chemical reaction of CH radicals with Zn atoms in doped-ZnO play an important role in determining the doped-ZnO etch rate together with an ion-enhanced removal mechanism of a-C:H layer as well as Zn(CHx)y etch by-products.  相似文献   

11.
The effect of CdCl2, CuCl2·2H2O, MnCl2·4H2O and FeCl3·6H2O impurities and undersaturation on the rates of macroscopic dissolution,v p, lateral etching away from a dislocation line,v t, and normal etching along the dislocation line,v n, and on the surface micromorphology of the {100} face of NaCl single crystals in water, methanol and 96% ethanol is investigated. The dependence of etch rates on impurity concentration,c i, showed that the addition of a salt to the solvent always leads to a decrease inv p, which attains a minimum value after a particular value ofc i. The concentration dependence ofv t andv n is relatively complex, but often both decrease or increase simultaneously. A change in etch-pit morphology is caused by increasing the concentrations of all additives in ethanol and methanol. The dependence of etch rates on the undersaturation of methanol and methanol containing 10–3 M CdCl2 showed that dislocation etch pits are formed only for undersaturations greater than 0.02 and 0.06, respectively. These results as well as the roughening of etched surfaces at low impurity concentrations, the formation of terraced etch pits and the difference between etch pits at aged and fresh dislocations are discussed.  相似文献   

12.
The dissolution (or etching) of a multicomponent (Na2O-MgO-CaO-SiO2) silicate glass in aqueous HF solutions is studied. The solutions were chosen in the systems HF-HNO3-H2O, HF-HCl-H2O and HF-H2SO4-H2O, and the temperatures varied from 25 to 60° C. SEM micrographs of the glass surface after etching show an orange peel surface structure which develops during etching and which originates from surface flaws. The dissolution rate of the glass was found to increase with higher HF concentration, higher strong-acid concentrations and higher temperatures. The dissolution rate is determined by the reaction of HF molecules and HF2 ions with the Si-O-Si grouping surrounding the SiO4 tetrahedron. In the multicomponent glass some of these bonds are non-bridging due to the presence of Na2O, CaO and MgO, increasing the dissolution rate significantly. H+ ions introduced by adding strong acids to the etch solution adsorb on the surface and catalyse the dissolution reaction. Several models used to describe the relation between the dissolution rate and the H+ concentration are discussed.  相似文献   

13.
The results obtained from etching experiments on the habit faces of NiMoO4·xH2O are described. Dilute solutions of chemical reagents such as HNO3, CH3COOH, NaOH, KOH are found to be the best etchants for revealing dislocation etch pits. The shape and nature of the etch pits are also described.  相似文献   

14.
The kinetics of etching and dissolution of cadmium oxalate trihydrate single crystals in selective etchants such as 1 M HCl, 1 M HNO3, 10% chromic acid, 4M NH4Cl, 4M CdCl2 and 4 M NH4Cl-1 M HCl solutions are studied. Contrary to a previous report on semiconductor etching, in the present investigation the activation energy of etching in solvents having a reaction-rate controlled mechanism of dissolution was lower than in those having a diffusion-rate controlled dissolution mechanism. Three 3-component etch systems, i.e., HCl-NH4Cl-H2O, HCl-CdCl2-H2O, and NH4Cl-CdCl2-H2O, are employed and constant etch rate contours are plotted which enable the part played by each component in association with the other components in the system to be understood.  相似文献   

15.
Characteristics of amorphous silicon (a-Si) etching using atmospheric pressure plasma discharge had been studied. Dielectric barrier discharge (DBD) plasma with nitrogen gas was employed for the study. The active chemical agent for etching was generated by mixing a small quantity of sulfur hexafluoride (SF6) gas into the plasma. The two distinguishable plasma zones are generated with the specially designed DBD plasma generator. The one is the main discharge zone generated between the two parallel plate electrodes. And the other one is downstream plasma zone extracted from the main discharge zone through the holes perforated on the bottom electrode. A test specimen was etched located at the plasma zone and moved the zone several times for etching on a temperature controlled stage. The etch rate of a-Si and the selectivity to silicon nitride (SiNx) were improved by addition of hydrogen (H2) or methane (CH4) gas into the plasma. However, when the specimen temperature was lower than 100 °C with H2 or CH4 gas added plasma condition, a-Si layer was not etched at all, but in the range of 100-140 °C of specimen temperature, the a-Si layer started to be etched while the influence of the specimen temperature on etching of a-Si was ignorable in that temperature range. At the optimized condition, the a-Si etch rate was up to 3000 A/min in the downstream plasma zone with the 3 mm of the distance between the surface of the specimen and the bottom side of the DBD plasma generator module. And the etch rate ratio between a-Si and SiNx was more than 100:1.  相似文献   

16.
H Norström  R Buchta  F Runovc  P Wiklund 《Vacuum》1982,32(12):737-745
SiO2 and Si3N4 on top of polycrystalline silicon, titanium silicide and gallium arsenide have been selectively etched by reactive sputter etching in glow discharges of CF4 and CHF3. It is observed that for SiO2 an infinite degree of selectivity can be obtained by admixing minor amounts of methane (<5%) to CHF3. By a proper adjustment of the operating conditions, i.e. power, gas-flow, total pressure and the CH4 to fluorocarbon ratio, we are able to control the rate of carbon deposition in such a way that etching takes place in exposed areas, releasing oxygen or nitrogen under the influence of energetic particle bombardment. The ion-assisted chemical reaction between oxygen or nitrogen and the polymerizing species, forming volatile products, together with the physical sputtering, makes these areas accessible to fluorine-containing species responsible for the chemical etching of SiO2. The importance of carbon deposition and oxygen release under energetic particle bombardment is demonstrated by resting the samples on different cathode materials and by sputter etching in an argon/methane atmosphere.These experiments confirm that prevention of carbon build-up by released oxygen is the main mechanism responsible for the high etch rate ratio between SiO2 and Si in reactive ion etching.  相似文献   

17.
Thin films of HfAlO3, a high-k material, were etched using inductively-coupled plasma. The dry etching mechanism of the HfAlO3 thin film was studied by varying the Cl2/Ar gas mixing ratio, RF power, direct current bias voltage, and process pressure. The maximum etch rate of the HfAlO3 thin film was 16.9 nm/min at a C12/(C12 + Ar) ratio of 80%. Our results showed that the highest etch rate of the HfAlO3 thin films was achieved by reactive ion etching using Cl radicals, due to the high volatility of the metal-chlorides. Consequently, the increased chemical effect caused an increase in the etch rate of the HfAlO3 thin film. Surface analysis by x-ray photoelectron spectroscopy showed evidence that Hf, Al and O reacted with Cl and formed nonvolatile metal-oxide compounds and volatile metal-chlorides. This effect may be related to the concurrence of chemical and physical pathways in the ion-assisted chemical reaction.  相似文献   

18.
The etching reaction between the undoped silica cladding in MCVD-fabricated fibers and buffered hydrofluoric acid (BHF) has been studied by examining the outer diameter decrease of the fibers in a given etching time. The study has revealed that the etching reaction is not diffusion limited. The etch rate is determined and compared with values published for SiO2 - films. We have also determined the activation energy of the process, which agrees well with values reported for SiO2 - films.  相似文献   

19.
Optical loss is a crucial quality for the application of polymer waveguide devices. The optimized oxygen inductively coupled plasma etching conditions, including antenna power, bias power, chamber pressure, O2 flow rate and etching time for the fabrication of smooth vertical poly(methyl-methacrylate-glycidly-methacrylate) channel waveguide were systematically investigated. Atomic force microscopy and scanning electron microscopy were used to characterize the etch rate, surface roughness and vertical profiles. The increment of etch rate with the antenna power, bias power and O2 flow rate was observed. Bias power and chamber pressure were found to be the main factor affecting the interface roughness. The vertical profiles were proved to be closely related to antenna power, bias power and O2 flow rate. Surface roughness increment was observed when the etching time increased.  相似文献   

20.
Inductively coupled plasma reactive ion etching of titanium thin films patterned with a photoresist using Cl2/Ar gas was examined. The etch rates of the titanium thin films increased with increasing the Cl2 concentration but the etch profiles varied. In addition, the effects of the coil rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and gas pressure. The degree of anisotropy in the etched titanium films improved with increasing coil rf power and dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed the formation of titanium compounds during etching, indicating that Ti films etching proceeds by a reactive ion etching mechanism.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号