首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
Etch characteristics of L10 FePt thin films masked with TiN films were investigated using an inductively coupled plasma (ICP) reactive ion etching in a CH3OH/Ar plasma. As the CH3OH gas was added to Ar, the etch rates of FePt thin films and TiN hard mask gradually decreased, and the etch profile of FePt films improved with high degree of anisotropy. With increasing ICP rf power and dc-bias voltage to substrate and decreasing gas pressure, the etch rate increased and the etch profile becomes vertical without any redepositions or etch residues. Based on the etch characteristics and surface analysis of the films by X-ray photoelectron spectroscopy, it can be concluded that the etch mechanism of FePt thin films in a CH3OH/Ar gas does not follow the reactive ion etch mechanism but the chemically assisted sputter etching mechanism, due to the chemical reaction of FePt film with CH3OH gas.  相似文献   

2.
An inductively coupled plasma reactive ion etching of IrMn magnetic thin films patterned with Ti hard mask was studied in a CH3OH/Ar gas mix. As the CH3OH concentration increased, the etch rates of IrMn thin films and Ti hard mask decreased, while the etch profiles improved with high degree of anisotropy. The effects of coil rf power, dc-bias voltage to substrate and gas pressure on the etch characteristics were investigated. The etch rate increased and the etch profile improved with increasing coil rf power, dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed that the chemical reaction between IrMn films and CH3OH gas occurred, leading to the clean and good etch profile with high degree of anisotropy of 90°.  相似文献   

3.
Inductively coupled plasma reactive ion etching of titanium thin films patterned with a photoresist using Cl2/Ar gas was examined. The etch rates of the titanium thin films increased with increasing the Cl2 concentration but the etch profiles varied. In addition, the effects of the coil rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and gas pressure. The degree of anisotropy in the etched titanium films improved with increasing coil rf power and dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed the formation of titanium compounds during etching, indicating that Ti films etching proceeds by a reactive ion etching mechanism.  相似文献   

4.
Do Young Lee 《Thin solid films》2009,517(14):4047-4051
Inductively coupled plasma reactive ion etching of indium zinc oxide (IZO) thin films masked with a photoresist was performed using a Cl2/Ar gas. The etch rate of the IZO thin films increased as Cl2 gas was added to Ar gas, reaching a maximum at 60% Cl2 and decreasing thereafter. The degree of anisotropy in the etch profile improved with increasing coil rf power and dc-bias voltage. Changes in pressure had little effect on the etch profile. X-ray photoelectron spectroscopy confirmed the formation of InCl3 and ZnCl2 on the etched surface. The surface morphology of the films etched at high Cl2 concentrations was smoother than that of the films etched at low Cl2 concentrations. These results suggest that the dry etching of IZO thin films in a Cl2/Ar gas occurs according to a reactive ion etching mechanism involving ion sputtering and a surface reaction.  相似文献   

5.
Etch characteristics of CoFeB magnetic thin films patterned with TiN hard masks were investigated using inductively coupled plasma reactive ion etching in H2O/Ar and H2O/CH4 gas mixes. As the H2O concentration in the H2O/Ar gas increased, the etch rates of CoFeB and TiN films decreased simultaneously, while the etch selectivity increased and etch profiles improved slightly without any redeposition. The addition of CH4 to the H2O gas resulted in an increase in etch selectivity and a higher degree of anisotropy in the etch profile. X-ray photoelectron spectroscopy was performed to understand the etch mechanism in H2O/CH4 plasma. A good pattern transfer of CoFeB films masked with TiN films was successfully achieved using the H2O/CH4 gas mix.  相似文献   

6.
Inductively coupled plasma reactive ion etching of CoFeB magnetic thin films patterned with Ti hard mask was studied in a CH3OH/Ar gas mix. As the CH3OH concentration increased, the etch rates of CoFeB thin films and Ti hard mask decreased but the etch profiles improved with high degree of anisotropy. The effects of coil rf power, dc-bias voltage and gas pressure on the etch characteristics were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and decreasing gas pressure. The degree of anisotropy in the etch profile of CoFeB films improved with increasing coil rf power and dc-bias voltage. X-ray photoelectron spectroscopy revealed that the chemical compounds containing Co and Fe components were formed during the etching. However, it was expected that the formation of these compounds could not increase the etch rates of the films due to low volatile compounds despite the improvement in etch profile.  相似文献   

7.
Etch characteristics of MgO thin films were investigated using an inductively coupled plasma reactive ion etcher in a HBr/Ar plasma. As the concentration of HBr gas increased, the etch rate of MgO thin films gradually decreased, but the etch rate of Ti hard mask showed initial decrease and then increased with increasing HBr concentration. The etch profile of MgO films was improved with increasing HBr concentration and a high degree of anisotropy in etch profile was achieved at 30% HBr/Ar gas. Based on the etch characteristics and surface analysis by X-ray photoelectron spectroscopy, it can be concluded that the etch mechanism of MgO thin films in a HBr/Ar gas does not follow the reactive ion etch mechanism but the sputter etching mechanism with the assistance of chemical reactions on the film surfaces.  相似文献   

8.
Dry etching of GaAs was investigated in BCl3, BCl3/N2 and BCl3/Ar discharges with a mechanical pump-based capacitively coupled plasma system. Etched GaAs samples were characterized using scanning electron microscopy and surface profilometry. Optical emission spectroscopy was used to monitor the BCl3-based plasma during etching. Pure BCl3 plasma was found to be suitable for GaAs etching at > 100 mTorr while producing a clean and smooth surface and vertical sidewall. Adding N2 or Ar to the BCl3 helped increase the etch rates of GaAs. For example, the GaAs etch rate was doubled with 20% N2 composition in the BCl3/N2 plasma compared to the pure BCl3 discharge at 150 W CCP power and 150 mTorr chamber pressure. The GaAs etch rate was ∼ 0.21 µm/min in the 20 sccm BCl3 plasma. The BCl3/Ar plasma also increased etch rates of GaAs with 20% of Ar in the discharge. However, the surface morphology of GaAs was strongly roughened with high percentage (> 30%) of N2 and Ar in the BCl3/N2 and BCl3/Ar plasma, respectively. Optical emission spectra showed that there was a broad BCl3-related molecular peak at 450-700 nm wavelength in the pure BCl3 plasma. When more than 50% N2 was added to the BCl3 plasma, an atomic N peak (367.05 nm) and molecular N2 peaks (550-800 nm) were detected. Etch selectivity of GaAs to photoresist decreased with the increase of % N2 and Ar in the BCl3-based plasma.  相似文献   

9.
Etch damage of TiO2 thin films with the anatase phase by capacitively coupled RF Ar plasmas has been investigated. The plasma etching causes a mixed phase of anatase and rutile or the rutile phase. The effect of Ar plasma etching damage on degenerating TiO2 thin films is dependent on gas pressure and etching time. The physical etching effect at a low gas pressure (1.3 Pa) contributes to the degradation: the atomic O concentration at the thin film surface is strongly increased. At a high gas pressure (13-27 Pa) and long etching time (60 min), there are a variety of surface defects or pits, which seem to be similar to those for GaN resulting from synergy effect between particle and UV radiation from the plasmas. For the hydrophilicity, the thin film etched at the high gas pressure and a short etching time (5 min) seems to have no etch damage: its contact angle property is almost similar to that for the as-grown thin film, and is independent of the black light irradiation. This result would probably result from formation of donor-like surface defects such as oxygen vacancy.  相似文献   

10.
We investigated the N2 additive effect on the etch rates of TiN and SiO2 and etch profile of TiN in N2/Cl2/Ar adaptively coupled plasma (ACP). The mixing ratio of Cl2 and Ar was fixed at 75 and 25 sccm, respectively. The N2 flow rate was increased from 0 to 9 sccm under the constant pressure of 10 mTorr. As N2 flow rate was increased in N2/Cl2/Ar plasma, the etch rate of TiN was linearly increased, but that of SiO2 was increased non-monotonically. The etch profile and the compositional changes of TiN was investigated with field emission-scanning electron microscope (FE-SEM), FE-Auger electron spectroscopy (FE-AES) and x-ray photoelectron spectroscopy (XPS). When 9 sccm N2 was added into Cl2/Ar, a steep etch profile and clean surface of TiN was obtained. In addition, the signals of TiN and Ti were disappeared in FE-AES and XPS when N2 additive flow into Cl2/Ar was above 6 sccm. From the experimental data, the increase in TiN etch rate was mainly caused by the increase of desorption and evacuation rate of etch by products because of the increased effective pumping speed. The etch mechanism of TiN in N2/Cl2/Ar ACP plasma can be concluded as the ion enhanced chemical etch.  相似文献   

11.
Dry etching of indium zinc oxide (IZO) thin films was performed using inductively coupled plasma reactive ion etching in a C2F6/Ar gas. The etch characteristics of IZO films were investigated as a function of gas concentration, coil rf power, dc-bias voltage to substrate, and gas pressure. As the C2F6 concentration was increased, the etch rate of the IZO films decreased and the degree of anisotropy in the etch profile also decreased. The etch profile was improved with increasing coil rf power and dc-bias voltage, and decreasing gas pressure. An X-ray photoelectron spectroscopy analysis confirmed the formation of InF3 and ZnF2 compounds on the etched surface due to the chemical reaction of IZO films with fluorine radicals. In addition, the film surfaces etched at different conditions were examined by atomic force microscopy. These results demonstrated that the etch mechanism of IZO thin films followed sputter etching with the assistance of chemical reaction.  相似文献   

12.
This paper studies the effects of both the positive and negative forming processes on the resistive switching characteristics of a Pt/Yb2O3/TiN RRAM device. The polarity of the forming process can determine the transition mechanism, either bipolar or unipolar. Bipolar behavior exists after the positive forming process, while unipolar behavior exists after the negative forming process. Furthermore, the bipolar switching characteristics of the Pt/Yb2O3/TiN device can be affected by using a reverse polarity forming treatment, which not only reduces the set and reset voltage, but also improves the on/off ratio.  相似文献   

13.
In this paper, various process conditions of tunnel oxides are applied in SONOS flash memory to investigate their effects on charge transport during the program/erase operations. We focus the key point of analysis on Fermi-level (EF) variation at the interface of silicon substrate and tunnel oxide. The Si-O chemical bonding information which describes the interface oxidation states at the Si/SiO2 is obtained by the core-level X-ray photoelectron spectroscopy (XPS). Moreover, relative EF position is determined by measuring the Si 2p energy shift from XPS spectrums. Experimental results from memory characteristic measurement show that MTO tunnel oxide structure exhibits faster erase speed, and larger memory window during P/E cycle compared to FTO and RTO tunnel oxide structures. Finally, we examine long-term charge retention characteristic and find that the memory windows of all the capacitors remain wider than 2 V after 105 s.  相似文献   

14.
The electrical characteristics of nonvolatile memory, which consists of an asymmetrical ZrO2/SiO2 (ZO) modified tunnel barrier, a high-k HfO2 trapping layer and an Al2O3 blocking layer, were investigated for the application of a tunnel barrier engineered nonvolatile memory at low process temperatures. The efficiency of the ZO modified tunnel barrier on the charge trap flash (CTF) memory cell was compared to a conventional single SiO2 tunnel barrier. The ZO tunnel barrier revealed field sensitivity larger than the single SiO2 tunnel barrier. The programming and erasing speeds as well as the retention and endurance characteristics of CTF memory were largely enhanced. Moreover, the forming gas annealing process in 2% diluted H2/N2 ambient improved the charging trapping property and tunneling sensitivity of the ZO modified tunnel barrier.  相似文献   

15.
The effects of electrode on the resistive switching in Nd0.7Ca0.3MnO3(NCMO)/YBa2Cu3O7(YBCO) heterostructure are investigated at room temperature. For Cu/NCMO/YBCO, resistance can be switched on-and-off from a high- to low-resistance state at a steady ratio of 25% with a pulsed-voltage of ± 3 V. On the other hand, a giant resistance-change as large as 1350% is observed with ± 5 V for Ag/NCMO/YBCO with a fast decay down to 550%. Our experimental results show clear evidences that the nature of interfaces can be modified by the electric field and it dictates the resistive switching behavior of these heterostructure devices, which are the potential candidates for the random access memory.  相似文献   

16.
The Fe3Si(24 nm)/CaF2(2 nm)/Fe3Si(12 nm) magnetic tunnel junction (MTJ) structures were grown epitaxially on CaF2/Si(111) by molecular beam epitaxy (MBE). The 12-nm-thick Fe3Si underlayer was grown epitaxially on CaF2/Si(111) at approximately 400 °C; however, the surface of the Fe3Si film was very rough, and thus a lot of pinholes are considered to exist in the 2-nm-thick CaF2 barrier layer. The average roughness (Ra) of the CaF2 barrier layer was 7.8 nm. This problem was overcome by low-temperature deposition of Fe and Si at 80 °C on CaF2/Si(111), followed by annealing at 250 °C for 30 min to form the Fe3Si layer. The Ra roughness was significantly reduced down to approximately 0.26 nm. A hysteresis loop with coercive field Hc of approximately 25 Oe was obtained in the magnetic field dependence of Kerr rotation at room temperature (RT).  相似文献   

17.
Dependence of electrical properties of phase change Ge1Sb2Te4 thin film on structural transformation was investigated. The electrical resistivity of the film decreases with increasing annealing temperature with a steep drop at ∼ 230 °C (the second crystallization temperature), at which the structure of Ge1Sb2Te4 changes from face-centered cubic to trigonal state. The steep drop of resistivity at the second crystallization temperature is mainly due to the increase of hole density within the p-type film, according to Hall measurement. The crystallization process has been followed by in situ resistance measurement at various annealing temperatures. Transmission electron microscope and atomic force microscope were also employed to study the film.  相似文献   

18.
Elliptical-type α-Fe2O3 nanoparticles with/without silica shell have been prepared. The core particles were coated with uniform continuous layers of silica of two different thicknesses by hydrolysis of TEOS. The obtained HCP structure elliptical α-Fe2O3 nanoparticles with ∼ 240 nm length and 100 nm width is polycrystalline in nature. The thicknesses of SiO2 shell coated on α-Fe2O3 are about 55 and 30 nm, respectively. The optical and magnetic properties of these nanoparticles have been investigated.  相似文献   

19.
The effects of CH2F2 and N2 gas flow rates on the etch selectivity of silicon nitride (Si3N4) layers to extreme ultra-violet (EUV) resist and the variation of the line edge roughness (LER) of the EUV resist and Si3N4 pattern were investigated during etching of a Si3N4/EUV resist structure in dual-frequency superimposed CH2F2/N2/Ar capacitive coupled plasmas (DFS-CCP). The flow rates of CH2F2 and N2 gases played a critical role in determining the process window for ultra-high etch selectivity of Si3N4/EUV resist due to disproportionate changes in the degree of polymerization on the Si3N4 and EUV resist surfaces. Increasing the CH2F2 flow rate resulted in a smaller steady state CHxFy thickness on the Si3N4 and, in turn, enhanced the Si3N4 etch rate due to enhanced SiF4 formation, while a CHxFy layer was deposited on the EUV resist surface protecting the resist under certain N2 flow conditions. The LER values of the etched resist tended to increase at higher CH2F2 flow rates compared to the lower CH2F2 flow rates that resulted from the increased degree of polymerization.  相似文献   

20.
Yusuke Nihei 《Thin solid films》2008,516(11):3572-3576
Inductively coupled plasma (ICP)-assisted sputtering with an internal coil enabled deposition of stoichiometric crystalline vanadium dioxide (VO2) films on a sapphire (Al2O3) (001) substrate under widely various deposition conditions. The films showed a metal-insulator (M-I) transition around temperatures of 68 °C with several orders of change in resistivity. Particularly, low-temperature (250 °C) growth of VO2 film with two orders transition decade was achieved in ICP-assisted sputtering, in contrast with conventional sputtering, which required 400 °C for VO2 growth. Rutherford back scattering (RBS) measurements revealed that the VO2 film prepared by ICP-assisted sputtering was exactly stoichiometric, containing no impurity atoms from the inserted coil material. The ICP-assisted sputtering was examined in comparison to conventional sputtering in view of plasma characteristics.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号