首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 78 毫秒
1.
Chemical-mechanical polishing (CMP) has been recognized indispensable to achieve the global planarity in removal of metal overlay across the wafer, when the number of interconnecting metal layers and the size of wafer increase and the line rule reduces to nano scale. CMP has to stop at the endpoint when the overlay metal has been removed, or dishing will occur which affects the subsequent lithography in IC manufacturing. An effective in situ endpoint monitoring method essentially improves the yield rate and throughput of CMP. One notices the coefficient of friction between the pad and dielectric layer is distinguishably lower than the one between the pad and the copper overlay, based on that an endpoint monitoring method using acoustic emissions during the chemical mechanical polishing is feasible. The proposed method is tested in the experiment, and the comparison with the previous thermal monitoring technique shows consistent results.  相似文献   

2.
Friction characteristics between the wafer and the polishing pad play an important role in the chemical–mechanical planarization (CMP) process. In this paper, a wafer/pad friction modeling and monitoring scheme for the linear CMP process is presented. Kinematic analysis of the linear CMP system is investigated and a distributed LuGre dynamic friction model is utilized to capture the friction forces generated by the wafer/pad interactions. The frictional torques of both the polisher spindle and the roller systems are used to monitor in situ the changes of the friction coefficient during a CMP process. Effects of pad conditioning and patterned wafer topography on the wafer/pad friction are also analyzed and discussed. The proposed friction modeling and monitoring scheme can be further used for real-time CMP monitoring and process fault diagnosis.  相似文献   

3.
ULSI制造中硅片化学机械抛光的运动机理   总被引:6,自引:0,他引:6  
从运动学角度出发,根据硅片与抛光垫的运动关系,通过分析磨粒在硅片表面的运动轨迹,揭示了抛光垫和硅片的转速和转向以及抛光头摆动参数对硅片表面材料去除率和非均匀性的影响.分析结果表明:硅片与抛光垫转速相等转向相同时可获得最佳的材料去除非均匀性及材料去除率.研究结果为设计CMP机床,选择CMP的运动参数和进一步理解CMP的材料去除机理提供了理论依据.  相似文献   

4.
Endpoint detection method for CMP of copper   总被引:2,自引:0,他引:2  
A novel method to detect the endpoint during Cu-CMP has been developed. It is based on the determination of the Cu concentration within the slurry on the pad that has just polished the wafer. The measurement of the ion concentration is performed using a capillary and an ion-selective electrode. The endpoint of the CMP process is detected by the decrease of Cu ion concentration, which is displayed by an decreased potential at the electrode. An experimental set-up has been established which can be applied to a commercial polishing tool. The method has been tested under various process conditions. The new endpoint detection system revealed to work independently of the polishing tool and the wafer size.  相似文献   

5.
This paper presents the experimental validation and some application examples of the proposed wafer/pad friction models for linear chemical–mechanical planarization (CMP) processes in the companion paper. An experimental setup of a linear CMP polisher is first presented and some polishing processes are then designed for validation of the wafer/pad friction modeling and analysis. The friction torques of both the polisher spindle and roller systems are used to monitor variations of the friction coefficient in situ . Verification of the friction model under various process parameters is presented. Effects of pad conditioning and the wafer film topography on wafer/pad friction are experimentally demonstrated. Finally, several application examples are presented showing the use of the roller motor current measurement for real-time process monitoring and control.  相似文献   

6.
In this work, a new feature-scale model is proposed for investigating the interaction between the wafer pattern and individual pad asperities in the process of chemical mechanical planarization (CMP). Based on the contact mechanics equation and the modified Greenwood–Williamson (GW) model which captures the evolution of feature curvature and the modification of the pad asperity height distribution, the discrete convolution and fast Fourier transform (DC-FFT) technique is adopted and combined with the Picard iteration method to calculate the direct contact pressure distribution between the wafer surface and the polishing pad. The computed pressure is then used to determine the local removal rate of the underlying patterns and predict the evolution of the wafer surface profile. Furthermore, the method is extended to capture the metal dishing as the feature size changes. It is shown that the present model can avoid the false simulated results produced by directly applying the original GW model for CMP when the feature size approaches zero. Otherwise, the calculated surface profile and dishing values of pattern geometries are in good agreement with the experimental data. Therefore, this model can not only be used to simulate the evolution of the wafer surface for global planarization at lower technology nodes, but can also be applied to provide some basic design rules for improving the process parameters and reducing the time and cost for developing new architectures.  相似文献   

7.
化学机械抛光(CMP)技术是半导体工艺中不可缺少的重要工艺。针对硅晶圆CMP平坦性问题,系统地考察了压力、转速、抛光垫、浆料、温度等因素对硅晶圆平坦化速率的影响,从中找到它们之间的优化参数,减少CMP工艺中的表面划伤、抛光雾、金属离子沾污,清除残余颗粒,保证硅晶圆的平坦化质量。  相似文献   

8.
In this paper, we analyze the nonuniformity of sliding distance on both the wafer and polishing pad from a kinematic point of view. Using the Fourier series expansion, it can be shown that in steady state the nonuniformity caused by contact relative velocity is determined by rotational speed ratio between platen and wafer carrier (m) and the ratio of wafer radius to the distance between the platen center and wafer center (Rc/d). In general, the nonuniformity of wafer increases with |m| and (Rc/d). An important observation for the polishing pad is that in two particular ranges of the ratio m, larger (Rc/d) on the contrary yields smaller nonuniformity. Then, a ring-type polishing pad is proposed for the purpose of improving the nonuniformity of both wafer and pad. However, it turns out the result for the pad of large size is worse than the traditional shape, unless the rotational speed of the pad is much slower than that of the wafer.  相似文献   

9.
Mathematical modeling of CMP conditioning process   总被引:2,自引:0,他引:2  
Up to now, the conditioning model with an oscillating conditioner wheel has not been studied. In this paper, kinematic analysis of the conditioning process and mathematical modeling of pad wear while the conditioner wheel oscillates is studied and the results show how the various parameters of the conditioning process influence the pad shape. The conditioning of the polishing pad is one of the most important processes associated with the CMP (Chemical Mechanical Polishing). As the wafer is polished, the surface of the pad can be deteriorated with a reduced polishing rate and reduced planarity due to wear and glazing of the pad. Thus, the polishing pad needs to be conditioned to maintain its effectiveness. In general, the conditioning process is used to regenerate the pad surface by breaking the glazed area of the pad and increase the MRR (Material Removal Rate) and give us longer pad life. However, as the conditioning process continues, the pad shape becomes more and more concave over the whole pad while the conditioner wheel oscillates (Y.Y. Zhou, E.C. Davis, Mat. Sci. Eng. B. 68 (1999), 91-98). It has been shown that the concavity of the polishing pad increases with conditioning time - longer conditioning induces a higher incidence of concavity of the polishing pad. Therefore, the conditioning process is related to the WIWNU (Within Wafer Non-Uniformity). Through this conditioning model, thickness variation of the polishing pad can be predicted.  相似文献   

10.
An analytical model for dishing and step height reduction in chemical mechanical planarization (CMP) is presented. The model is based on the assumption that at the feature scale, high areas on the wafer experience higher pressure than low areas. A Prestonian material removal model is assumed. The model delineates how dishing and step height reduction depend on slurry properties (selectivity and Preston's constants), pad characteristics (stiffness and bending ability), polishing conditions (pressure, relative velocity and overpolishing) and wafer surface geometry (linewidth, pitch and pattern density). Model predictions are in good agreement with existing experimental observations. The present model facilitates understanding of the CMP process at the feature scale. Based on the proposed model, design avenues for decreasing dishing and increasing the speed of step height reduction may be explored through modification of appropriate parameters for slurry, pad and polishing conditions. The proposed model may also be used as a design tool for pattern layout to optimize the performance of the CMP process.  相似文献   

11.
In order to understand the fundamentals of the chemical mechanical polishing(CMP) material removal mechanism,the indentation depth of a slurry particle into a wafer surface is determined using the in situ nanomechan-ical testing system tribo-indenter by Hysitron.It was found that the removal mechanism in CMP is most probably a molecular scale removal theory.Furthermore,a comprehensive mathematical model was modified and used to pinpoint the effects of wafer/pad relative velocity,which has not been modele...  相似文献   

12.
化学机械抛光(CMP)技术作为目前唯一可提供在整个晶圆片上全面平坦化的工艺技术,已被越来越广泛地应用到了半导体领域。介绍了CMP技术原理、晶片夹持、抛光台温度控制、抛光垫修整、终点检测、抛光后清洗等技术以及未来对国内CMP设备的展望。  相似文献   

13.
It is well known that the chemical reaction between an oxide layer and a water-based slurry produces a softer hydroxylated interface layer. During chemical-mechanical polishing (CMP), it is assumed that material removal occurs by the plastic deformation of this interface layer. In this paper, the behavior of the hydroxylated layer is modeled as a perfectly plastic, material, and a mechanistic model for material removal rate (MRR) in CMP is developed. The deformation profile of the soft pad is approximated as the bending of a thin elastic beam. In addition to the dependence of MRR on pressure and relative velocity, the proposed plasticity-based model is also capable of delineating the effects of pad and slurry properties. The plasticity-based model is utilized to explore the effects of various design parameters (e.g., abrasive shape, size and concentration, and pad stiffness) on the MRR. Model predictions are compared with existing experimental observations from glass polishing, lapping, and CMP  相似文献   

14.
Inverse analysis of material removal data using a multiscale CMP model   总被引:1,自引:0,他引:1  
This paper describes a mechanical model for a representative dual axis rotational chemical mechanical planarization (CMP) tool. The model is three-dimensional, multiscale and includes sub-models for bulk pad deformation, asperity deformation, lubrication based slurry flow, carrier film deformation, wafer compliance and material removal by abrasive particles in the slurry. With the model, material removal rate (MRR) can be determined as a function of stress applied to the wafer, relative sliding speed, and material and geometric parameters of the pad and slurry. Experimental material removal rate profiles obtained from Cu polishing experiments performed on a wafer without rotation are analyzed as an inverse problem. We use MRR data to predict local CMP conditions such as fluid film thickness, fluid pressure and contact pressure. The results are consistent with available experimental and analytical information. This inverse technique offers promise as an improved method of CMP model verification.  相似文献   

15.
Polishing debris generated by pad surface conditioning has been suspected as a major source of microscratches in the chemical–mechanical planarization (CMP) process. In this study, we investigated the pad debris generated by an in situ conditioning process during oxide CMP as one of the major scratch sources. We evaluated the relationship between the size of pad debris and the shape of microscratches on a wafer to find the cause of scratches. Pad debris was gathered in real time during the polishing process. Then, by transmission electron microscopy we observed a mixed layer of pad material and abrasive particles on the surface of the pad debris and the pad surface, which hardened the pad debris and pad surface. The results reveal a size range of pad debris that led to a minimum scratch count. Pad debris size smaller or larger than the minimum scratch region seems to cause higher scratch count due to the hardened pad surface and pad debris.  相似文献   

16.
There are two important things when doing the CMP, one is the high removal rate, and the other is low nonuniformity. The factors, which may effect the result of polishing process, are pressure applied to wafer and the rotation speed of the wafer. In this study, the best polishing pressure distribution was studied. The effect of different boundary conditions between wafer and wafer carrier on the polishing pressure distribution was analyzed. The clearance between pad and wafer also analyzed  相似文献   

17.
The kinematics of conventional, rotary chemical mechanical planarization (CMP) was analyzed, and its effect on polishing results was assessed. The authors define a novel parameter, ζ, as a “kinematic number,” which includes the effects of wafer size, distance between rotation centers, and rotation ratio between wafer and pad. The analysis result suggests that velocity distribution, direction of friction force, uniformity of velocity distribution, distribution of sliding distance, and uniformity of sliding-distance distribution could be consistently expressed in terms of the kinematic number ζ. These results become more important as the wafer size increases and the requirement of within-wafer nonuniformity is more stringent.  相似文献   

18.
王永光  赵永武 《半导体学报》2007,28(12):2018-2022
基于芯片/磨粒/抛光垫的微观接触力平衡关系,建立了考虑抛光垫/磨粒大变形和粘着力效应的微观接触模型,模型预测结果表明:对于Cu和SiO2芯片而言,粘着力对磨粒所受外力具有重要影响作用;考虑粘着力的情况下,单个磨粒压入芯片的深度比未考虑粘着效应时,最大为原来的2倍和4倍。然而,即使考虑粘着效应时,磨粒压入芯片的深度仍然在分子量级。因此,认为CMP材料的去除机理为单分子层去除机理,为深入研究CMP材料原子/分子去除机理提供了一定的理论指导。  相似文献   

19.
The effects of temperature, slurry pH, applied pressure, and polishing rotation rate on the material removal rate during chemical mechanical polishing (CMP) of 4H-silicon carbide wafers using colloidal silica slurry and polyurethane/polyester fiber polishing pads have been studied. Measured removal rates varied from around 100 Å/hr to nearly 2500 Å/hr depending on the values of the various parameters. The amount of material removed was determined by measuring the wafer mass before and after polishing. Variations in temperature and slurry pH did not produce significant changes in the measured removal rates. Higher polishing pressures resulted in increased material removal rates from 200 to 500 Å/hr but also produced excessive polishing pad damage. Variations in pad rotational speeds produced the largest changes in material removal rates, from around 200 to around 2000 Å/hr for rotational speeds between 60 and 180 rpm, but the variations were non-linear and somewhat inconsistent. This CMP formula is shown to consistently produce damage free surfaces but the optimum removal rate is slow.  相似文献   

20.
It is well known that within wafer non-uniformity (WIWNU), due to the variation in material, removal rate (MRR) in the whole wafer plays an important role in determining the quality of a wafer planarized by CMP. Various material removal models also suggest that the MRR is strongly influenced by the interface pressure. In the present work, an analytical expression for pressure distribution at the wafer and pad interface is developed. It is observed that depending on the wafer curvature and polishing conditions, the interface pressure may exhibit significant variation. The analytical model predictions are first verified against finite element method (FEM) simulations. The predicted analytical pressure profiles are then utilized in Preston's equation to estimate the MRR, and these MRR predictions are also compared to experimental observations. The analytical results suggest, that for a specified wafer curvature there exists a certain polishing condition (and vice versa) that will enable holding the WIWNU within a specified tolerance band. The proposed model facilitates the design space exploration for such optimal polishing conditions.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号