首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 187 毫秒
1.
The initial growth stage of self-induced GaN nanowires (NWs) on an AlN(0001)/Si(111) substrate is studied theoretically. Calculations are carried out within the model of Stranski-Krastanov quantum dot formation. The surface density of GaN islands is calculated, the formation of which precedes NW formation. GaN NW density is found as a function of gallium flux and deposition time for the case of molecular beam epitaxy growth.  相似文献   

2.
GaN nanowires (NWs) were grown selectively in holes of a patterned silicon oxide mask, by rf-plasma-assisted molecular beam epitaxy (PAMBE), without any metal catalyst. The oxide was deposited on a thin AlN buffer layer previously grown on a Si(111) substrate. Regular arrays of holes in the oxide layer were obtained using standard e-beam lithography. The selectivity of growth has been studied varying the substrate temperature, gallium beam equivalent pressure and patterning layout. Adjusting the growth parameters, GaN NWs can be selectively grown in the holes of the patterned oxide with complete suppression of the parasitic growth in between the holes. The occupation probability of a hole with a single or multiple NWs depends strongly on its diameter. The selectively grown GaN NWs have one common crystallographic orientation with respect to the Si(111) substrate via the AlN buffer layer, as proven by x-ray diffraction (XRD) measurements. Based on the experimental data, we present a schematic model of the GaN NW formation in which a GaN pedestal is initially grown in the hole.  相似文献   

3.
Bottom-up nanostructure assembly has been a central theme of materials synthesis over the past few decades. Semiconductor quantum dots and nanowires provide additional degrees of freedom for charge confinement, strain engineering, and surface sensitivity-properties that are useful to a wide range of solid state optical and electronic technologies. A central challenge is to understand and manipulate nanostructure assembly to reproducibly generate emergent structures with the desired properties. However, progress is hampered due to the interdependence of nucleation and growth phenomena. Here we show that by dynamically adjusting the growth kinetics, it is possible to separate the nucleation and growth processes in spontaneously formed GaN nanowires using a two-step molecular beam epitaxy technique. First, a growth phase diagram for these nanowires is systematically developed, which allows for control of nanowire density over three orders of magnitude. Next, we show that by first nucleating nanowires at a low temperature and then growing them at a higher temperature, height and density can be independently selected while maintaining the target density over long growth times. GaN nanowires prepared using this two-step procedure are overgrown with three-dimensionally layered and topologically complex heterostructures of (GaN/AlN). By adjusting the growth temperature in the second growth step either vertical or coaxial nanowire superlattices can be formed. These results indicate that a two-step method allows access to a variety of kinetics at which nanowire nucleation and adatom mobility are adjustable.  相似文献   

4.
We demonstrate the nucleation of self-assembled, epitaxial GaN nanowires (NWs) on (111) single-crystalline diamond without using a catalyst or buffer layer. The NWs show an excellent crystalline quality of the wurtzite crystal structure with m-plane faceting, a low defect density, and axial growth along the c-axis with N-face polarity, as shown by aberration corrected annular bright-field scanning transmission electron microscopy. X-ray diffraction confirms single domain growth with an in-plane epitaxial relationship of (10 ?10)(GaN) [parallel] (01 ?1)(Diamond) as well as some biaxial tensile strain induced by thermal expansion mismatch. In photoluminescence, a strong and sharp excitonic emission reveals excellent optical properties superior to state-of-the-art GaN NWs on silicon substrates. In combination with the high-quality diamond/NW interface, confirmed by high-resolution transmission electron microscopy measurements, these results underline the potential of p-type diamond/n-type nitride heterojunctions for efficient UV optoelectronic devices.  相似文献   

5.
The growth and morphology of semiconductor nanowires (NWs) obtained in the absence of catalyst of growth are theoretically investigated. A self-consistent model is constructed that simultaneously describes both vertical and radial growth of NWs. It is shown that the vertical growth rate nonlinearly depends on the time at the initial stage and tends to a constant value at the asymptotic stage. A classification of possible forms of NWs depending on deposition conditions is given. The results are compared to experimental data regarding the morphology of GaN nanowires obtained using molecular beam epitaxy on the silicon surface.  相似文献   

6.
High output nanogenerator based on assembly of GaN nanowires   总被引:1,自引:0,他引:1  
Lin L  Lai CH  Hu Y  Zhang Y  Wang X  Xu C  Snyder RL  Chen LJ  Wang ZL 《Nanotechnology》2011,22(47):475401
GaN nanowires (NWs) were synthesized through a vapor-liquid-solid (VLS) process. Based on structural analysis, the c-axis of the NW was confirmed to be perpendicular to the growth direction. Nanogenerators (NGs) fabricated by rational assembly of the GaN NWs produced an output voltage up to 1.2 V and output current density of 0.16 μA cm?2. The measured performance of the GaN NGs was consistent with the calculations using finite element analysis (FEA).  相似文献   

7.
Vertically oriented nanowires (NWs) of single-crystalline wurtzite GaN have been fabricated on γ-LiAlO2 (100) substrate coated with a Au layer, via a chemical vapor deposition process at 1000 °C using gallium and ammonia as source materials. The GaN NWs grow along the nonpolar [100] direction with steeply tapering tips, and have triangular cross-sections with widths of 50–100 nm and lengths of up to several microns. The GaN NWs are formed by a vapor-liquid-solid growth mechanism and the tapering tips are attributed to the temperature decrease in the final stage of the synthesis process. The aligned GaN NWs show blue-yellow emission originating from defect levels, residual impurities or surface states of the GaN NWs, and have potential applications in nanotechnology.  相似文献   

8.
The incubation time necessary for the growth of surface islands on heterogeneous nucleation centers to begin has been theoretically analyzed depending on the material gas flow and surface temperature. It is shown that, under heterogeneous growth in the mode of incomplete condensation, the incubation time increases with temperature according to the Arrhenius law and is inversely proportional to the flow, irrespective of the mechanism of diffusion transport to islands. The results obtained have been qualitatively compared with the experimental data on the incubation time for three-dimensional GaN islands arising in the initial stage of self-induced growth of GaN nanowires.  相似文献   

9.
采用金属有机物化学气相沉积法(MOCVD)在硅(Si)衬底制备铝/氮化铝/氮化镓(Al/AlN/GaN)多层薄膜,使用光学显微镜(OM)、原子力显微镜(AFM)、X射线衍射(XRD)等手段表征AlN和GaN薄膜的微观结构和晶体质量,研究了TMAl流量对AlN薄膜和GaN薄膜的形核和生长机制的影响。结果表明,预沉积Al层能促进AlN的形核和生长,进而提高GaN外延层的薄膜质量。TMAl流量太低则预沉积Al层不充分,AlN缓冲层的质量取决于由形核长大的高结晶度AlN薄膜与在气氛中团聚长大并沉积的低结晶度AlN薄膜之间的竞争,AlN薄膜的质量随着TMAl流量的升高而提高,GaN薄膜的质量也随之提高。TMAl流量太高则预沉积Al层过厚,AlN缓冲层的质量取决于由形核长大的高结晶度AlN薄膜与Al-Si回融蚀刻之间的竞争,AlN薄膜的质量随着TMAl流量的升高而降低,GaN薄膜的质量也随之降低。  相似文献   

10.
GaN nanowires have been fabricated successfully on Si (111) substrates coated with NiCl2 thin films by chemical vapor deposition method using Ga2O3 as raw material. The growth of GaN nanowires was investigated as a function of reaction times so as to study the influence of different durations on the components, microstructure, morphologies and optical properties of GaN samples in particular by X-ray diffraction, FT-IR spectrophotometer, scanning electron microscope, and photoluminescence. The results show that the samples after reaction are single crystal GaN with hexagonal wurtzite structure and high-quality crystalline after reaction at 1,100 °C for 60 min, which have good optical properties as revealed by PL spectra. Reaction time greatly influences the growth of GaN nanowires, that is, with the increase in reaction time, the crystalline quality of GaN nanowires is improved accordingly. The growth of the GaN nanowires follows the vapor–liquid-solid mechanism and Ni plays an important role as catalyst, which forms nucleation point in the growth of GaN nanowires.  相似文献   

11.
We report on the directed synthesis of germanium oxide (GeO(x)) nanowires (NWs) by locally catalyzed thermal oxidation of aligned arrays of gold catalyst-tipped germanium NWs. During oxygen anneals conducted above the Au-Ge binary eutectic temperature (T?>?361?°C), one-dimensional oxidation of as-grown Ge NWs occurs by diffusion of Ge through the Au-Ge catalyst droplet, in the presence of an oxygen containing ambient. Elongated GeO(x) wires grow from the liquid catalyst tip, consuming the adjoining Ge NWs as they grow. The oxide NWs' diameter is dictated by the catalyst diameter and their alignment generally parallels that of the growth direction of the initial Ge NWs. Growth rate comparisons reveal a substantial oxidation rate enhancement in the presence of the Au catalyst. Statistical analysis of GeO(x) nanowire growth by ex?situ transmission electron microscopy and scanning electron microscopy suggests a transition from an initial, diameter-dependent kinetic regime, to diameter-independent wire growth. This behavior suggests the existence of an incubation time for GeO(x) NW nucleation at the start of vapor-liquid-solid oxidation.  相似文献   

12.
Henry T  Kim K  Ren Z  Yerino C  Han J  Tang HX 《Nano letters》2007,7(11):3315-3319
We report the growth of horizontally aligned arrays and networks of GaN nanowires (NWs) as resonant components in nanoelectromechanical systems (NEMS). A combination of top-down selective area growth (SAG) and bottom-up vapor-liquid-solid (VLS) synthesis enables flexible fabrication of highly ordered nanowire arrays in situ with no postgrowth dispersion. Mechanical resonance of free-standing nanowires are measured, with quality factors (Q) ranging from 400 to 1000. We obtained a Young's modulus (E) of approximately 338 GPa from an array of NWs with varying diameters and lengths. The measurement allows detection of nanowire motion with a rotating frame and reveals dual fundamental resonant modes in two orthogonal planes. A universal ratio between the resonant frequencies of these two fundamental modes, irrespective of their dimensions, is observed and attributed to an isosceles cross section of GaN NWs.  相似文献   

13.
We report on the selective area growth of GaN nanowires (NWs) on nano-patterned Si(111) substrates by metalorganic chemical vapor deposition. The nano-patterns were fabricated by the oxidation of Si followed by the etching process of Au nano-droplets. The size of formed nano-pattern on Si(111) substrate was corresponding to the size of Au nano-droplet, and the diameter of GaN NWs grown was similar to the diameter of fabricated nano-pattern. The interesting phenomenon of using the nano-patterned Si(111) substrates is the formation of very clear substrate surface even after the growth of GaN NWs. However, in the case of GaN NWs grown using Au nano-droplets, there was several nanoparticles including GaN bulk grains on the Si(111) substrates. The smooth surface morphology of nano-patterned Si(111) substrates was attributed to the presence of SiO2 layer which prevents the formation of unnecessary GaN particles during the GaN NW growth. Therefore, we believe that nano-patterning method of Si(111) which was obtained by the oxidation of Si(111) substrate and subsequent Au etching process can be utilized to grow high-quality GaN NWs and its related nano-device applications.  相似文献   

14.
We report the first synthesis and characterization of cobalt- and chromium-doped GaN nanowires (NWs), and compare them to manganese-doped GaN NWs. Samples were synthesized by chemical vapor deposition method, using cobalt(II) chloride and chromium(III) chloride as dopant precursors. For all three impurity dopants hexagonal, triangular, and rectangular NWs were observed. The fraction of NWs having a particular morphology depends on the initial concentration of the dopant precursors. While all three dopant ions have the identical effect on GaN NW growth and faceting, Co and Cr are incorporated at much lower concentrations than Mn. These findings suggest that the doping mechanism involves binding of the transition-metal intermediates to specific NW facets, inhibiting their growth and causing a change in the NW morphology. We discuss the doping concentrations of Mn, Co, and Cr in terms of differences in their crystal-field stabilization energies (DeltaCFSE) in their gas-phase intermediates and in substitutionally doped GaN NWs. Using iron(III) chloride and cobalt(II) acetate as dopant precursors we show that the doping concentration dependence on DeltaCFSE allows for the prediction of achievable doping concentrations for different dopant ions in GaN NWs, and for a rational choice of a suitable dopant-ion precursor. This work further demonstrates a general and rational control of GaN NW growth using transition-metal impurities.  相似文献   

15.
We present acoustic charge transport in GaN nanowires (GaN NWs). The GaN NWs were grown by molecular beam epitaxy (MBE) on silicon(111) substrates. The nanowires were removed from the silicon substrate, aligned using surface acoustic waves (SAWs) on the piezoelectric substrate LiNbO(3) and finally contacted by electron beam lithography. Then, a SAW was used to create an acoustoelectric current in the GaN NWs which was detected as a function of radio-frequency (RF) wave frequency and its power. The presented method and our experimental findings open up a route towards new acoustic charge transport nanostructure devices in a wide bandgap material such as GaN.  相似文献   

16.
The structural properties of GaN grown on AlGaN/AlN stress mitigating layers on 100-mm diameter Si (111) substrate by ammonia molecular beam epitaxy have been reported. High resolution X-ray diffraction, micro-Raman spectroscopy, transmission electron microscopy and secondary ion mass spectroscopy have been used to study the influence of AlN thickness and AlGaN growth temperature on the quality of GaN. GaN grown on thicker AlN showed reduced dislocation density and lesser tensile strain. Three-dimensional growth regime was observed for GaN grown at lower AlGaN growth temperature while higher AlGaN growth temperature resulted in two-dimensional growth mode. The dislocation bending and looping at the AlGaN/AlN interface was found to have significant influence on the dislocation density and strain in the GaN layer. The evolution and interaction of threading dislocations play a major role in determining the quality and the strain states of GaN.  相似文献   

17.
Effects of design and materials on the dielectrophoretic self-assembly of individual gallium nitride nanowires (GaN NWs) onto microfabricated electrodes have been experimentally investigated. The use of TiO(2) surface coating generated by atomic layer deposition (ALD) improves dielectrophoretic assembly yield of individual GaN nanowires on microfabricated structures by as much as 67%. With a titanium dioxide coating, individual nanowires were placed across suspended electrode pairs in 46% of tests (147 out of 320 total), versus 28% of tests (88 out of 320 total tests) that used uncoated GaN NWs. An additional result from these tests was that suspending the electrodes 2.75 μm above the substrate corresponded with up to 15.8% improvement in overall assembly yield over that of electrodes fabricated directly on the substrate.  相似文献   

18.
Nanoporous Si(111) substrates are used to study the effects of Au catalyst coarsening on the nucleation of vapor–liquid–solid‐synthesized epitaxial Ge nanowires (NWs) at temperatures less than 400 °C. Porous Si substrates, with greater effective interparticle separations for Au surface diffusion than nonporous Si, inhibit catalyst coarsening and agglomeration prior to NW nucleation. This greatly reduces the variation in wire diameter and length and increases the yield compared to nucleation on identically prepared nonporous Si substrates.  相似文献   

19.
Dayeh SA  Wang J  Li N  Huang JY  Gin AV  Picraux ST 《Nano letters》2011,11(10):4200-4206
By the virtue of the nature of the vapor-liquid-solid (VLS) growth process in semiconductor nanowires (NWs) and their small size, the nucleation, propagation, and termination of stacking defects in NWs are dramatically different from that in thin films. We demonstrate germanium-silicon axial NW heterostructure growth by the VLS method with 100% composition modulation and use these structures as a platform to understand how defects in stacking sequence force the ledge nucleation site to be moved along or pinned at a single point on the triple-phase circumference, which in turn determines the NW morphology. Combining structural analysis and atomistic simulation of the nucleation and propagation of stacking defects, we explain these observations based on preferred nucleation sites during NW growth. The stacking defects are found to provide a fingerprint of the layer-by-layer growth process and reveal how the 19.5° kinking in semiconductor NWs observed at high Si growth rates results from a stacking-induced twin boundary formation at the NW edge. This study provides basic foundations for an atomic level understanding of crystalline and defective ledge nucleation and propagation during [111] oriented NW growth and improves understanding for control of fault nucleation and kinking in NWs.  相似文献   

20.
Li Y  Xiang J  Qian F  Gradecak S  Wu Y  Yan H  Blom DA  Lieber CM 《Nano letters》2006,6(7):1468-1473
We report the rational synthesis of dopant-free GaN/AlN/AlGaN radial nanowire heterostructures and their implementation as high electron mobility transistors (HEMTs). The radial nanowire heterostructures were prepared by sequential shell growth immediately following nanowire elongation using metal-organic chemical vapor deposition (MOCVD). Transmission electron microscopy (TEM) studies reveal that the GaN/AlN/AlGaN radial nanowire heterostructures are dislocation-free single crystals. In addition, the thicknesses and compositions of the individual AlN and AlGaN shells were unambiguously identified using cross-sectional high-angle annular darkfield scanning transmission electron microscopy (HAADF-STEM). Transport measurements carried out on GaN/AlN/AlGaN and GaN nanowires prepared using similar conditions demonstrate the existence of electron gas in the undoped GaN/AlN/AlGaN nanowire heterostructures and also yield an intrinsic electron mobility of 3100 cm(2)/Vs and 21,000 cm(2)/Vs at room temperature and 5 K, respectively, for the heterostructure. Field-effect transistors fabricated with ZrO(2) dielectrics and metal top gates showed excellent gate coupling with near ideal subthreshold slopes of 68 mV/dec, an on/off current ratio of 10(7), and scaled on-current and transconductance values of 500 mA/mm and 420 mS/mm. The ability to control synthetically the electronic properties of nanowires using band structure design in III-nitride radial nanowire heterostructures opens up new opportunities for nanoelectronics and provides a new platform to study the physics of low-dimensional electron gases.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号