首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 125 毫秒
1.
阐述了以SA828和IPM为核心组成的航空逆变电源的设计。通过分析SA828生成SPWM信号原理,并结合航空逆变电源的特点,详细讨论了硬件和软件的设计。4kW样机实验证明,效果良好。  相似文献   

2.
设计了基于高性能数字信号处理器的带以太网接口的智能通信逆变电源。阐述了逆变电源的工作原理,介绍了TMS320F240的软硬件设计,给出了RTL8019AS的硬件接口原理框图和中断服务程序流程围。  相似文献   

3.
提出一种逆变电源无差拍控制方法和传统PI控制方法相结合的复合控制方法。在理论分析的基础上利用MATLAB/SIMULINK建立相应的逆变电源系统模型,在仿真过程中确定PI控制器参数值,从而完成整个控制器的设计。在不同负载情况下和主电路滤波器参数发生变化时的仿真结果表明设计的逆变电源系统抗负载扰动能力强,对参数具有一定的鲁棒性,能很好地跟踪正弦参考信号。这种复合控制方法为逆变电源的并联提供了另一种方案。  相似文献   

4.
首先简要地介绍了逆变电源采用高频链逆变技术的优势,然后具体针对1000VA高频链逆变电尖进行了主电路和控制方案的设计,并对设计中可能出现的问题进行了考虑,最后给出了相应的仿真波形和实验波形,证明了该逆变电源具有良好的性能。  相似文献   

5.
讨论模块化逆变电源的应用场合及设计特点,并以某定向陀螺用的逆变电源为例,介绍了模块化逆变电源的设计过程。  相似文献   

6.
新型双单端正激式IGBT逆变电源的设计   总被引:2,自引:0,他引:2  
介绍了一种新型双单端正激式IGBT逆变电源的设计方案。通过检测输入滤波电容电压,并配合PI调节闭环控制,使遢民压保持了平衡。设计了新型的续流电路和缓冲电路,实现了IGBT尖峰电压的无功耗吸收。实验证明,该设计可提高逆变电源的工作可靠性。  相似文献   

7.
徐林鹏  齐蓉 《电气传动》2006,36(9):40-43
采用CPLD芯片以简单可行的方法实现了对高频链逆变电源驱动电路的有效控制,介绍了基于CPLD的大功率航空逆变电源的设计原理和设计方法。仿真和实验表明,该逆变电源设计合理、可靠性高,具有良好的输出响应特性,明显提高了系统的实时性和集成度。  相似文献   

8.
针对低压直流供电逆变电源的特点,在逆变电源的主要控制策略和单极性弱倍频SPWM控制方式基础上,提出了一种用于逆变电源的复合控制方法,介绍了该复合控制方法中电压有效值环控制、DC分量控制、重复控制及电压电流双闭环瞬时值控制等控制环节的设计机理。以DSC MC56F8346为控制核心,设计了一款4 k V·A低压直流供电的逆变电源原理样机,给出了详细的软硬件实现方法,并进行了实验验证,结果表明了采用这种复合控制方法进行SPWM逆变电源设计的可行性。  相似文献   

9.
臧小惠  惠晶 《电源学报》2005,3(3):223-226
采用基于DSP的数字锁相环(DPLL)对高频逆变电源输出频率的实时控制,可实现逆变器工作频率对负载谐振频率的同步跟踪,确保逆变器开关器件工作在零电压电流软开关(ZVZCS)状态,显著减小功率器件的开关损耗和提高装置效率。本文在给出DPLL控制的逆变电源拓扑结构基础上,推出了适用于高频逆变电源的锁相环数学模型,在Z域中对二阶数字锁相环进行了稳定性分析和动态设计。在对锁相环Z域传递函数分析的基础上,得出二阶数字锁相环的稳定条件,并用MATLAB对其进行了仿真分析,最后进行了实验验证。仿真和实验结果表明在Z域中对基于DSP的二阶数字锁相环的动态分析和设计是合理可行的,用此方法设计的电源具有良好的动态响应和抗扰性能。  相似文献   

10.
介绍了一种触发电路基于CPLD的大功率航空逆变电源的设计原理和设计方法.实验表明,该逆变电源设计合理、可靠性高,具有良好的输出响应特性,明显提高了系统的实时性和集成度.  相似文献   

11.
CPLD在高频链逆变电源控制中的应用   总被引:6,自引:0,他引:6  
介绍了复杂可编程逻辑器件CPLD在高频链逆变电源控制中的应用。实验表明 ,它明显提高了系统的集成度、实时性和可靠性。  相似文献   

12.
介绍了一种采用CPLD+单片机结构的磁致伸缩位移传感器系统,重点研究了该传感器中CPLD与单片机通信系统的设计与实现.结合传感器产生的16位数字信号的特性,提出了一种比常规串行通信方法更为简单、灵活的自定义串行通信方法,并设计了通信时序图,据此搭建CPLD与单片机通信系统的硬件电路.该通信系统中通过在CPLD中实现通信接口电路,提供数据、控制、握手信号的接口与单片机建立通信.通过实验验证,该通信系统能够实现准确、实时的数据传输,且能保证传感器系统的位移测量精度.  相似文献   

13.
CPLD在高压微机保护装置中的应用研究   总被引:4,自引:0,他引:4  
随着基于EDA技术的CPLD的快速发展,CPLD以其高速度、高可靠性等优点在变电站综合自动化系统中得到了广泛的应用。本文介绍了一种在高压微机保护装置中利用CPLD技术的方法,通过此方法使保护装置的PCB布线大为简化,抗干扰能力和实时性能都有了很大的提高。  相似文献   

14.
提出一种新的基于复杂可编程逻辑器件CPLD的高速A/D转换器的控制系统。该控制系统充分利用CPLD功能,采用VHDL语言及图形化编程方式,有效地实现了对A/D控制器、多路采样保持器以及前端多片多路复用开关的协调控制,可以有效地控制多达36通道数据的A/D转换,能够大幅度减轻CPU的工作负担,提高执行效率,简化软件编程,实现了硬件上的模块化控制。本文提出的基于CPLD的高速A/D转换器的控制系统已成功地应用于电力系统综合负荷特性数据的实时采集。  相似文献   

15.
复杂可编程逻辑器件(CPLD)在DSP交流电机控制系统中的应用   总被引:5,自引:0,他引:5  
介绍了复杂可编程逻辑器件(CPLD)的结构和功能,使用方法和步骤。指出CPLD系统内编程和快速性为使用者提供了方便条件,电机控制系统设计和实验结果表明,在DSP交流电机控制系统中应用CPLD,会使系统更加合理、紧凑。  相似文献   

16.
随着多电平技术的发展,开关数量急剧增加。对于超过三电平的电路结构,现有的嵌入式处理器本身提供的PWM通道显然不够用,而CPLD具有I/O口多、设计灵活、规模大和速度快的优点,为此本文采用DSP+CPLD方式,设计了多电平变换器用脉冲发生器实现方案。本方案采用近似PWM产生方式,即第一级的PWM脉冲由DSP产生,其他各级的PWM控制脉冲通过对第一级的PWM脉冲进行分别移相即可得到。采用这种近似方法使载波移相控制可以方便地实现数字化,而且DSP的计算量不随单元级数的增多而增加。本文详细介绍了该方案实现方法和特点,并给出了部分实验结果。  相似文献   

17.
介绍了开关磁阻起动/发电机系统的构成及其工作原理。在此基础上,设计了以数字信号处理器(DSP)和可编程逻辑器件(CPLD)为核心的数字控制器,包括硬件和软件。该系统利用DSP的强大运算能力实现对系统的实时控制,采用CPLD芯片实现信号的逻辑处理,输出驱动信号控制开关管的通断,仿真波形验证了CPLD逻辑设计的正确性。通过DSP和CPLD的相互配合,从而达到准确控制开关磁阻起动/发电机良好运行的目的。  相似文献   

18.
基于CPLD的串行通信模块设计   总被引:2,自引:3,他引:2  
串行通信广泛应用于数字通信和控制系统中,专用串口通信芯片引脚多接口复杂,可编程逻辑器件CPLD体积小功能强大.将串口功能集成在一片CPLD 芯片里,整个系统将变得简洁.本设计使用逻辑关系清晰设计语言简练的硬件描述语言Verilog HDL,将串行通信核心功能集成到CPLD内部,使CPLD成为串行通信模块,完成串并收发的功能.该方法简单快捷,易于实现,设计的模块紧凑小巧,可以作为串行通信接口的标准模块接入到用户的各种设计中.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号