首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 95 毫秒
1.
航天用FPGA设计复杂度越来越高,其表现之一就是设计中存在多个时钟域,当信号从一个时钟域进入另一个时钟域,即不同时钟域之间发生数据交互时,就会带来信号跨时钟域产生的亚稳态问题(CDC问题)。亚稳态问题虽普遍存在,但依靠传统的验证手段即功能仿真或者时序仿真是很难定位的,提出一种分层次、多模式的跨时钟域验证方法,为跨时钟域问题分析确认提供强有力的参考。  相似文献   

2.
《电子技术应用》2017,(12):29-32
在SoC信号跨时钟域传输时,有可能会产生亚稳态等问题。到目前为止,对信号跨时钟域传输还没有一套完整且通用的验证方法。因此,在传统SoC设计和验证仿真工具的基础上,形成了关于信号跨时钟域传输的一整套验证方法。其中包括CDC结构分析、基于断言的CDC协议验证、亚稳态注入分析三部分。通过此套方法可以在设计初期发现设计中的缺陷,提高设计的可靠性。  相似文献   

3.
开放芯核协议(Open Core Protocol,OCP)总线可被应用于将IP核功能与接口解耦,实现IP核的即插即用。针对OCP连接到异步时钟域时的同步问题,改进设计了轻量化的同步接口,在同步化控制信息的同时降低了跨时钟域缓存数据导致的硬件消耗。为解决点到点的OCP总线的扩展性不足的缺陷,将设计的跨时钟域OCP总线部署于共享总线互联的高级高性能总线(AMBA High-performance Bus,AHB),实现了多主从多时钟域传输。仿真和验证表明,设计的改进跨时钟域OCP-AHB总线可以正确传输数据,可用于其他工作的快速部署。  相似文献   

4.
针对当前SOC内部时钟越来越复杂、接口越来越多以及亚稳态、漏信号等常见的各种问题,分析了以往的优化方法的优缺点,然后从电路的角度出发,提出了一种新的SOC跨时钟域同步电路设计的方法。这种方法电路简单,可靠性高,通过仿真实验和实测实验验证,能够在多时钟系统中适应最小输入脉宽、不漏信号、避免误触发和多触发,且很好地解决了亚稳态等问题。  相似文献   

5.
为了解决跨时钟域问题对基于片上网络的高速数据传输造成的功能误差,提出了一种新的片上网络跨时钟域高速数据通信接口电路.针对采用多电压频率岛分配方案的异步片上网络,将多路选择器模块和基于令牌环的环形异步FIFO相结合构成跨时钟域高速数据通信接口电路.实验结果表明,该算法及电路设计能够有效减小亚稳态的影响,增加片上网络系统数据传输的吞吐率,满足用于视频采集和处理系统的片上网络对大数据量和高速度数据码流进行实时传输的需求.  相似文献   

6.
针对离线式弹载数据采集存储设备小型化需求,设计了一种基于FPGA的LVDS(Low-Voltage Differential Sig-naling)无时钟高速数据传输系统.在不外挂接口芯片的情况下,用板载时钟代替差分时钟,仅使用一对差分管脚即可完成一路LVDS无时钟数据传输,系统中数据接口较多时可以很大程度上减少板卡体...  相似文献   

7.
ARINC659总线与机载计算机PCI9054接口设计中因工作时钟不同而出现亚稳态现象。为此,描述亚稳态机理,给出降低亚稳态产生条件,提出采用同步器实现控制信号传递和格雷码+异步FIFO实现数据传输。结合PCI9054接口信号时序,设计总线接口模块,通过Verilog编码实现进行仿真实验。结果表明,异步FIFO解决了ARINC659与PCI9054之间的跨时钟数据传输。  相似文献   

8.
在资源共享越来越密切的今天,跨域授权访问变得越来越重要, IRBAC2000模型通过动态角色转换来实现域间的授权访问,但是该模型在动态角色映射时存在最小权限、职责分离和域穿越等问题.提出一个矩阵结构的跨域映射方式来改进IRBAC2000,研究了该方式的表现形式,分析了上述三个问题的改进措施,并用一个实例说明该改进方式在管理和实现方面的优点.  相似文献   

9.
网络中信息传输延迟以及各节点时钟的不同步将降低信息交互的实时性,从而导致时空不一致,需要时钟同步.首先分析了分布式网络中常用的时钟同步技术的优缺点,以及他们各自得适用范围,然后结合分布式虚拟系统的特点,提出了基于兴趣域的自发式时钟同步方法,阐述了其基本原理,介绍了同步定时在分布式网络系统中的实现方法,并设计了一个试验环境进行了验证分析,其性能分析结果表明提高了系统的一致性,最后指出了今后继续研究的方向.  相似文献   

10.
星载FPGA混合时钟域设计   总被引:2,自引:1,他引:2  
设计了以XC2V3000为核心处理芯片的星载FPGA系统的涵盖高速、中速、低速和甚低速的混合时钟域,对混合时钟域可靠性设计中的关键问题,如资源降额、时序冗余、布局布线等,做了深入研究,提出了基于全局时钟网络、时钟鉴相、FIFO缓冲的多时钟同步设计解决方案,并在实际工程中验证了方案的可行性和可靠性。  相似文献   

11.
提出一种基于现场可编程门阵列(FPGA)的CCD自准直测角系统.以FPGA作为系统处理核心;以自适应调节模块为CCD驱动发生器提供准确的波形时序;采用边缘检测算法获得图像的像元级边界;通过最小二乘拟合算法计算得到光斑圆心位置;利用VHDL语言以及MAX+plusⅡ软件完成了系统设计.系统测试和实验表明:所设计的片上系统具有高精度、高集成度的特点.  相似文献   

12.
基于SoC FPGA的心电信号检测系统设计   总被引:1,自引:0,他引:1  
设计实现了一种基于片上系统现场可编程门阵列( SoC FPGA)的心电信号( ECG)检测系统.系统通过具有高输入阻抗、高共模抑制比和低噪声的前置采集放大电路,实现心电信号的拾取和预处理.通过基于SoC FPGA的硬件平台和移植的嵌入式Linux开发环境的软硬协同设计方式,完成了心电信号的A/D转换、VGA显示、Micro SD卡数据存储和心电信号算法处理,能够对心电信号进行小波分析和QRS波检测,实现了对心电信号的采集、显示、存储和处理.  相似文献   

13.
14.
提出了一种面向片上系统(SoC)的RBF神经网络的软测量算法,在OMAP-L137双核处理器SoC 硬件平台上成功实现了整个训练与预测算法.针对SoC计算速度和存储空间等资源有限,对网络结构、权值更新模式和步长以及数据预处理方式等参数提出了具体的解决方案.经过相关数据集的测试结果表明:提出的算法移植方法完全满足工业应用...  相似文献   

15.
基于ARM Cortex-M3核的SoC架构设计及性能分析   总被引:1,自引:0,他引:1  
主要研究了基于ARM Cortex-M3核的SoC设计方法及不同架构对芯片整体性能的影响。首先从Cortex-M3的结构特点尤其是总线结构特点出发,分析了基于该核的SoC架构设计的要点。然后通过EEMBC的CoreMark程序,对实际流片的一款Cortex-M3核芯片进行了性能测试,并与STM32F103 MCU的测试结果进行了对比,通过实例说明了不同芯片架构对性能的影响。最后,对影响SoC芯片性能的因素,包括芯片架构、存储器速度、工艺、主频等进行了分析和总结。  相似文献   

16.
利用Actel公司的基于Flash构架的模数混合型Fusion系列FPGA芯片,设计了一款低功耗片上的心电监护仪采集显示系统.结合Fusion系列的FPGA芯片的各种资源,实现了心电采集预处理模块、数据的处理和显示模块的系统集成,完整地形成了片上系统.  相似文献   

17.
针对SoC测试中的关键问题--测试数据的压缩,提出了一种改进型的FDR码编码方法,称为IFDR码.它将测试序列看做连续的0串和1串,从而用同一种编码方法同时对0游程和1游程进行编码,突破了FDR码仅能对0游程进行编码的限制.通过分析可知IFDR码的解压电路的结构较简单,所需要的额外硬件开销很小;对ISCAS 89标准电路的实验结果表明,与FDR码以及同类型的其他编码方法相比,该编码方法能获得更高的压缩率,从而可以更好地节省测试数据的存储空间和测试应用时间.  相似文献   

18.
针对传统的面向应用领域的多核SoC体系结构设计方法存在系统结构探索空间大、设计复杂度高等问题,提出了一种基于体系结构模板的粗粒度可重构SoC系统架构设计方法。该设计方法以体系结构设计为中心,体系结构模板可重用、参数可配置,从而缩小了体系结构设计探索空间,提高了体系结构设计效率,降低了应用程序编译器开发复杂性。最后,以密码处理领域为例,将模板参数实例化,构建了一个面向密码处理领域的多核可重构指令集处理器SoC系统(Multi-RISP SoC)。实验结果表明,MultiRISP SoC系统与几个典型可重构平台在性能上相当,但系统构建更为快速高效。  相似文献   

19.
SoC芯片设计方法及标准化   总被引:13,自引:2,他引:13  
随着集成电路技术的迅速发展,集成电路已进入系统级芯片(SoC)设计时代,SoC芯片的集成度越来越高,单芯片上的集成度和操作频率越来越高,投放市场的时间要求越来越短,为了实现这样的SoC芯片,设计越来越依赖IP模块的重用,SoC复杂性的提高和IP模块的多样化,SoC芯片中多个厂商不同IP模块的使用,导致了IP模块可重用的许多问题,IP模块和片上总线,以及EDA工具接口的标准化,是解决IP模块标准化的很好途径,另一方面,SoC芯片设计的复杂性和嵌入软件所占比重的增加,要求更高层次的系统抽象和软硬件的协同设计,使用更流地的设计进行系统的硬件设计和更有效的系统设计方法,描述了SoC芯片设计中的IP模块可重用技术以及所存在的问题,介绍了SoC IP模块和片上总线结构的标准化,讨论了基于C/C++扩展类库的系统级描述语言和基于平台的SoC设计方法。  相似文献   

20.
汉盲翻译是一种将中文文本自动翻译为对应的盲文数据的过程. 在嵌入式环境下, 汉盲翻译的速度较慢, 难以达到复杂环境下的实时性需求. 为此设计出专用的汉盲翻译IP核, 通过实现逆向最大匹配分词算法、汉盲转换, 最终得到准确的盲文数据. 为了验证设计的合理性, 以Cortex-M3为微处理器构建SoC, 搭载串口、LCD驱动和汉盲翻译IP核, 并使用FPGA实验平台进行功能验证和性能测试. 测试结果表明, 该SoC可准确进行汉盲翻译, 翻译速度达5 079.37 B/s.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号