首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 14 毫秒
1.
Cu/Ta/Si (100) structures deposited by the non-mass separated ion beam deposition system showed a slight resistivity increase at 650 °C due to a Cu agglomeration. To suppress the Cu agglomeration on the Ta layer, a capping layer was deposited on the Cu/Ta/Si structure using Ta or SiO2 as a suppressor. In the case of the Ta suppressor, the agglomeration of Cu was observed between two distorted Ta films due to the difference in thermal expansion between the Cu filmand the Ta film at high temperature. On the other hand, the SiO2 layer was found to be suitable as a suppressor, and the Cu agglomeration did not occur even after annealing at 650 °C by the suppression of the Cu diffusion.  相似文献   

2.
Proper understanding of the degradation mechanisms and diffusion kinetics of copper and cobalt interconnections for advanced microelectronics is important from the point of view of fundamental research and technology as well. In this paper Si(substrate)/Ta(10 nm)/Cu(25 nm)/W(10 nm) and Si(substrate)/Co(150 nm)/Ta(10 nm) samples, prepared by DC magnetron sputtering, were in investigated. The samples were annealed at several temperatures ranging from 423 K to 823 K for various times. The composition distributions were detected by means of Secondary Neutral Mass Spectrometry (SNMS). Microstructural characterization of samples was carried out by means of Transmission Electron Microscopy (TEM). It is shown that the changes in the composition profiles were mainly caused by grain boundary, GB, diffusion and the effective GB diffusion coefficients of Ta in Cu were determined both by the “first appearance” and “centre-gradient” methods. The activation energy is 100 kJ/mol. The importance of the Ta penetration into the Cu and its accumulation at the Cu/W interface can lead to an increase of the Ta content in the copper film. This can be an important factor in the change/degradation of the physical parameters (e.g. the electrical resistance) of interconnects. Furthermore a Ta segregation factor in Cu was evaluated. Preliminary results in the Si(substrate)/Co(150 nm)/Ta(10 nm) indicate fast (GB) diffusion of the Si into the Co layer, formation of a cobalt silicide layer at the Co/Si interface and Si accumulation first at the Ta/Co interface and later a retarded accumulation at the free Ta surface.  相似文献   

3.
Proper understanding of the degradation mechanisms and diffusion kinetics of copper and cobalt interconnections for advanced microelectronics is important from the point of view of fundamental research and technology as well. In this paper Si(substrate)/Ta(10 nm)/Cu(25 nm)/W(10 nm) and Si(substrate)/Co(150 nm)/Ta(10 nm) samples, prepared by DC magnetron sputtering, were in investigated. The samples were annealed at several temperatures ranging from 423 K to 823 K for various times. The composition distributions were detected by means of Secondary Neutral Mass Spectrometry (SNMS). Microstructural characterization of samples was carried out by means of Transmission Electron Microscopy (TEM). It is shown that the changes in the composition profiles were mainly caused by grain boundary, GB, diffusion and the effective GB diffusion coefficients of Ta in Cu were determined both by the “first appearance” and “centre-gradient” methods. The activation energy is 100 kJ/mol. The importance of the Ta penetration into the Cu and its accumulation at the Cu/W interface can lead to an increase of the Ta content in the copper film. This can be an important factor in the change/degradation of the physical parameters (e.g. the electrical resistance) of interconnects. Furthermore a Ta segregation factor in Cu was evaluated. Preliminary results in the Si(substrate)/Co(150 nm)/Ta(10 nm) indicate fast (GB) diffusion of the Si into the Co layer, formation of a cobalt silicide layer at the Co/Si interface and Si accumulation first at the Ta/Co interface and later a retarded accumulation at the free Ta surface.  相似文献   

4.
Silicon nitride (SiN x ) thin film layers were deposited on Cu/Ta/SiO2/Si multilayer structures by Plasma Enhanced Chemical Vapor Deposition at the temperature 285°C. The influence of post deposition thermal annealing treatments on the micro-structural, compositional and thermal stability study of SiN x /Cu/Ta/SiO2/Si multilayer structure was studied and compared with unpassivated, Cu/Ta/SiO2/Si multilayer structure. It was found that after SiN x passivation, the formation of Cu2O and Ta2O5 was significantly reduced and the structure becomes more stable than unpassivated one. The reaction between Cu, Ta and O was not found in this SiN x /Cu/Ta/SiO2/Si multiplayer structure but the out diffusion of Ta to the Cu surface was unable to be suppressed. The Ta barrier was observed to fail at temperatures above 750°C due to the formation of Ta x N y , at the interface of SiN x /Cu.  相似文献   

5.
In this investigation, we have fabricated Ta(Vb)/Si(111) and Cu/Ta(Vb)/Si(111) systems using a DC bias sputtering technique at high Ar pressure (100 mTorr). For Ta/Si(111) system, tantalum layer was formed under various bias voltages ranging from 0 to −150 V. The films were characterized by Rutherford backscattering spectrometry (RBS), scanning electron microscopy (SEM) and four-point probe sheet resistance measurements (Rs). From electrical resistivity and SEM data, a minimum resistivity (99 μΩ cm) and well surface morphology at an optimum bias voltage (Vb=−50 V) was obtained for the Ta(Vb)/Si(111) system. The Ta films deposited under these conditions with 50 nm thickness are then used as a diffusion barrier in the Cu/Ta(Vb)/Si(111) multilayer structure. According to our RBS, SEM and Rs analysis, the Ta barrier layer formed under the controlled bias sputtering at high Ar pressure has demonstrated an improved Ta structure with excellent thermal stability up to 650°C for the Cu/Ta(Vb)/Si(111) system annealed in N2 environment for 30 min. Formation of TaSi2 was observed at 700°C after the barrier failure using RBS spectra.  相似文献   

6.
Molecular Dynamics simulation results of evaporated Cu deposition on bcc Ta substrates are presented. Different substrate orientations, substrate temperatures, and initial surface roughnesses were investigated. We have also simulated the deposition of Ta on flat fcc Cu (111) substrates at different temperatures. Several aspects of the microstructural evolution during deposition are reported. The results are also compared with those obtained earlier for Cu deposition on β-Ta. A connection mechanism between two different crystal structures, bcc (110) and fcc (111), which is significantly different from the classical misfit dislocation scenario, is reported. Also, mixing behaviour in a thermodynamically immiscible system during atom-by-atom deposition of Ta on fcc Cu (111) is elucidated. Finally, we show results of a Density Functional Theory verification of the accuracy of the Ta-Cu emperical potential. Results and implications are discussed. This revised version was published online in June 2006 with corrections to the Cover Date.  相似文献   

7.
The thermal stability of thin Ru single layer and Ru/TaN bilayers grown on bare Si by plasma enhanced atomic layer deposition (PEALD) have been studied with Cu/Ru, Cu/Ru/TaN structures as a function of annealing temperature. To investigate the characteristics as a copper diffusion barrier, a 50 nm thick Cu film was sputtered on Ru and Ru/TaN layers and each samples subjected to thermal annealing under N2 ambient with varied temperature 300, 400, and 500 degrees C, respectively. It was found that the single 5 nm thick ALD Ru layer acted as an effective Cu diffusion barrier up to 400 degrees C. On the other hand ALD Ru (5 nm)/TaN (3.2 nm) showed the improved diffusion barrier characteristics even though the annealing temperature increased up to 500 degrees C. Based on the experimental results, the failure mechanism of diffusion barrier would be related to the crystallization of amorphous Ru thin film as temperature raised which implies the crystallized Ru grain boundary served as the diffusion path of Cu atoms. The combination of ALD Ru incorporated with TaN layer would be a promising barrier structure in Cu metallization.  相似文献   

8.
Cu3Sn intermetallic compound (IMC) layer is usually formed in solder joints. Since the formation of Cu3Sn could induce large volume shrinkage, and further cause a lot of reliability issues, many works focused on suppressing the formation or growth of the Cu3Sn layer. This work explored that Cu and Ag alloying elements also have benefit in suppressing the Cu3Sn growth during isothermal aging stage. The Cu6Sn5 IMC layer seems to be much stable in the Sn/Cu solder joint during aged at 150 and 180 °C, its thickness changed little, while the Cu3Sn IMC layer grew much quickly. After about 300 h, the thickness of Cu3Sn layer exceeds that of Cu6Sn5 layer. For the Sn-3.5Ag/Cu and Sn-0.7Cu/Cu solder joints, the thickness of Cu3Sn layer is near half of that of Cu6Sn5 layer. According to the relation between interface location and aging time, the reaction generated at the Cu6Sn5/Cu3Sn interface, which is governed by atom fluxes, controls the growth of Cu3Sn IMC layer. Since Ag and Cu alloying elements suppress the coarsening of Cu6Sn5 IMC grains, the diffusion paths for Cu atoms toward the solder are more for Ag or Cu containing solder joints. Therefore, the growth of the Cu3Sn layer by consuming Cu6Sn5 layer is slower in the SnAg/Cu and SnCu/Cu solder joints than that in the Sn/Cu joints.  相似文献   

9.
Nanoscale Cu/Ta multilayers with individual layer thickness ranging from 3 to 70 nm were deformed under nanoindentation at room temperature. Shear bands can be observed only when individual layer thickness is reduced to 9 nm or below, indicating formation of shear bands in the Cu/Ta multilayers is layer thickness dependent. By observing the cross sectional transmission electron microscope images of the indentation fabricated through focused ion beam technique, shear banding deformation causing a unique layer-morphology with prevalent mismatched laminate structure has been reported for the first time. By capturing and analyzing a series of typical indentation-induced deformed microstructures, a new physical mechanism of shear banding behavior in metallic nano-multilayers is suggested.  相似文献   

10.
Molecular dynamics (MD) simulations are used to investigate the role of size and distribution of nanoscale Cu/Ta interfaces on the nucleation and evolution of defects during shock loading and spall failure of nanocrystalline (nc) Cu/Ta alloys. Cu/Ta interfaces are introduced through the embedding of Ta clusters in nc-Cu matrix. The phase stability of the embedded Ta clusters either as FCC or BCC clusters is first investigated and reveals that the FCC Ta clusters have a lower energy for diameters less than 4 nm, whereas the BCC Ta clusters have a lower energy for the larger diameters. The shock simulations are then carried out for Ta clusters with an average diameter of 1 and 3 nm and concentrations of 3.0, 6.3 and 10.0% to investigate the role of size and distribution of Cu/Ta interfaces (due to presence of clusters) on the nucleation and evolution of dislocations as well as the spall strength of the alloy. The MD simulations indicate that the Cu/Ta interfaces reduce the capability of nc-Cu to accommodate plasticity through nucleation of dislocations and create void nucleation sites during spallation. The MD simulations further reveal that the impact strengthening effects due to the presence of nanoscale Cu/Ta interfaces are strongly dependent upon the size and distribution of Ta clusters, as well as the grain size of Cu matrix. Smaller size of interfaces (cluster size), higher concentration of Ta (smaller spacing between interfaces) and larger matrix grain size render higher spall strengths of nc-Cu/Ta microstructures.  相似文献   

11.
Low temperature analysis of diffusion and intermixing of Co-Si systems are very important in applications for microelectronics and Ultra Large Scale Integration (ULSI). In this communication a comprehensive report has been given on degradation and diffusion processes in the Si(substrate)/Co(150 nm)/Ta(10 nm) system. The samples were prepared by DC magnetron sputtering and were annealed in argon ambient at several temperatures ranging from 400 to 623 K for various times. The composition of the samples was investigated by Secondary Neutral Mass Spectrometry (SNMS). The degradation/intermixing starts with fast (grain boundary (GB)) diffusion of the Si into the Co layer. After some incubation time Si atoms appear and spread over the Co/Ta interface. This amount of Si accumulated at the Co/Ta interface acts as a reservoir for back-diffusion into the Co layer from the Co/Ta interface through the slower grain boundaries. At higher temperatures the formation of a Co-Si phase was detected at the Co/Si and Co/Ta interface. Three different diffusion coefficients were calculated from the SNMS concentration-depth profiles using “Central-gradient” (CG) and “First-appearance” methods. The observed intermixing was interpreted as a mixture of different “C-type” grain boundary diffusion processes. Furthermore, the experimental results are also compared with computer simulations modelling the grain-boundary diffusion through different grain-boundary paths. From the SNMS profiles measured at different temperatures the activation energy of the GB interdiffusion coefficients was deduced using the “CG method”.  相似文献   

12.
应用射频磁控溅射法在(001)Si衬底上制备了Cu(120nm)/Ta(5nm)/Ti-Al(5nm)/Si异质结,借助原子力显微镜(AFM)、X射线衍射(XRD)和四探针测试仪(FPP)等方法研究了Ta(5nm)/Ti-Al(5nm)集成薄膜用作Cu和Si之间阻挡层的结构和性能。研究发现,Cu/Ta/Ti-Al/Si异质结即使经受850℃高温退火后,样品的XRD图中也没有出现杂峰,表明样品各层之间没有发生明显的化学反应。相对于800℃退火的样品,850℃退火样品的表面均方根粗糙度急剧增大,同时方块电阻也增加了一个数量级,表明Ta(5nm)/Ti-Al(5nm)集成薄膜在850℃时,阻挡性能完全失效。由于Ta和Cu之间存在良好粘附性以及Ti-Al强的化学稳定性,Ta(5nm)/Ti-Al(5nm)集成薄膜在800℃以下具有良好的阻挡性能。  相似文献   

13.
研究了覆盖层为铁磁性的Fe和非铁磁性的Ti、Cu的Co/Cu/Co三明治在室温和低温下的巨磁电阻效应。实验结果表明,室温下有覆盖层时,Co/Cu/Co三明治的巨磁电阻效应值没有明显变化,但以Fe为覆盖层的样品的矫顽力和饱和场明显减小,而Ti、Cu覆盖层对三明治样品的矫顽力和饱和场无太大的影响。温度降低时,覆盖层使Co/Cu/Co三明治的巨磁电阻值显著增加,表明样品的巨磁电阻效应与覆盖层及其与上层Co所形成的界面密切相关。  相似文献   

14.
The fracture behavior of the Cu/Si interface in a nano-cantilever specimen with a 200 nm-thick Cu film (Specimen-200), which possesses a nanometer-scale strain-concentrated region, is examined under a cyclic bending load. The fatigue strength is around GPa level owing to the high yield stress of the Cu nano-film and the deformation constraint associated with the neighboring hard materials. The S-N curve shows clear dependence of fatigue life on the applied stress in the high-stress range, Δσ. Specimens with a 20 nm-thick Cu film (Specimen-20) are also investigated for comparison. The stress range in the fatigue fracture of Specimen-20 is higher than that of Specimen-200 for the same fatigue life. However, there is good coincidence in the Δσ/σs (σs: strength in monotonic load) vs. Nf (number of cycles to fracture) at high Δσ. The S-N curves suggest the existence of a fatigue threshold (Δσw) at low Δσ. The ratio of fatigue limit to the fracture stress in a monotonic loading, Δσw/σs, is large compared with the magnitude of bulk metal, which suggests the brittle behavior of the interface. Moreover, the fatigue limits have good coincidence with their yield stresses.  相似文献   

15.
The effect of introducing a thin Mo2C (30 nm) layer between Ti and Cu on the thermal stability of Si/SiO2/Ti/Cu system was studied using four-point probe (FPP), scanning electron microscopy (SEM), energy-dispersive X-ray spectroscopy (EDAX) and X-ray diffraction (XRD) techniques. The measured value of the sheet resistance in the bi-layered diffusion barrier structure does not show any change up to an annealing temperature of 750??C. The sheet resistance when measured after annealing at 800??C marginally increases but less than twice its value at room temperature. The XRD analysis indicated no copper diffusion and the formation of Cu3Si phase up to 800??C. The bi-layered barrier structure annealed at elevated temperature shows copper-depleted and agglomerated regions. The sheet resistance measurement, study of surface morphology and the XRD analysis confirm that the insertion of thin Mo2C layer increases the thermal stability of the system from 400??C to 750??C. The increased thermal stability of the system is ascribed to longer diffusion path length in the bi-layered system probably because of grain boundaries mismatch at Ti-Mo2C interface.  相似文献   

16.
主要研究了不同保护层Ta和Ru对磁性薄膜NiFe的厚度及磁性的影响.通过观察可以看出,NiFe/Ta,NiFe/Ru界面间产生了磁矩为零的部分层即所谓的"死层",其厚度分别为(1.5±0.2)nm,(1.2±0.2)nm(厚度误差在0.2nm范围内).利用两种保护层时虽然避免不了"死层"现象的出现,但是发现,Ru作为保护层时产生的"死层"厚度比Ta作为保护层时的小.为了进一步证实这一点,我们采用X射线衍射仪及X射线光电子能谱仪对该两种薄膜进行了结构测试和深度剖析,并且运用XPSPeak 4.1拟合软件对获得的Ta4f和Ru3d的高分辨XPS谱进行了计算机拟合分析;结果表明,Ru较Ta更加适合于做保护层,渴望在自旋电子器件上得到应用.  相似文献   

17.
Sr x Bi2.4Ta2O9 (0.7 x 1.3) thin films were processed by metalorganic decomposition and their ferroelectric characteristics were investigated. The Sr-deficient Sr x Bi2.4Ta2O9 films exhibited well-developed ferroelectric hysteresis curves compared to those of the Sr-excess films, and Sr0.85Bi2.4Ta2O9 film had the optimum electrical characteristics among Sr x Bi2.4Ta2O9 films. Electrical characteristics of the Pt/SBT/Al2O3/Si structure using Sr0.85Bi2.4Ta2O9(SBT) film were investigated for metalferroelectric-insulator-semiconductor field-effect-transistor (MFIS-FET) applications. Memory window of C-V hysteresis characteristics of the Pt/SBT/Al2O3/Si structure became large with decreasing the Al2O3 thickness, and the Pt/SBT(400 nm)/Al2O3 (10 nm)/Si structure gave memory window of 2.2 V at sweeping voltages of ±5 V. The Pt/SBT/Al2O3/Si structure can be proposed for MFIS-FET applications.  相似文献   

18.
19.
20.
This work investigated the properties of ionized metal plasma (IMP) deposited copper (Cu) and chemical vapor deposited (CVD) Cu on IMP-TaN (tantalum nitride) diffusion barrier in the Cu(200 nm)/TaN(30 nm)/SiO2(250 nm)/Si multi-layer structure. The IMP-Cu film deposited on IMP-TaN had a preferred orientation (220) with a grain size of around 30 nm and roughness (RMS) of 1.391 nm, while the CVD-Cu had a (111) preferred orientation with a grain size around 170 nm and roughness (RMS) of 15.416 nm as determined by atomic force microscopy (AFM) and x-ray diffraction (XRD) analyses. Thermal stability study of the structures was also performed by sheet resistance measurements, scanning electron microscopy (SEM), XRD and Rutherford backscattering spectroscopy (RBS). These results revealed that IMP-Cu on IMP-TaN has higher thermal stability, less intermixing and/or agglomeration than CVD-Cu on IMP-TaN at the same annealing temperatures. The higher thermal stability of IMP-Cu than CVD-Cu can be accounted by their difference in microstructure. The failure mechanisms of IMP-Cu and CVD-Cu in multiplayer structure were also discussed.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号