首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
A new process is presented that combines nanoimprint lithography and soft lithography to assemble metal–bridge–metal crossbar junctions at ambient conditions. High density top and bottom metal electrodes with half‐pitches down to 50 nm are fabricated in a parallel process by means of ultraviolet nanoimprint lithography. The top electrodes are realized on top of a sacrificial layer and are embedded in a polymer matrix. The lifting of the top electrodes by dissolving the sacrificial layer in an aqueous solution results in printable electrode stamps. Crossbar arrays are noninvasively assembled with high yield by printing the top electrode stamps onto bare or modified bottom electrodes. A semiconducting and a quasi metal like conducting type of polymer are incorporated in the cross points to form metal‐polymer‐metal junctions. The electrical characterization of the printed junctions revealed that the functional integrity of the electrically addressed conductive polymers is conserved during the assembling process. These findings suggest that printing of electrodes represents an easy and cost effective route to highly integrated nanoscale metal‐bridge‐metal junctions if imprint lithography is used for electrode fabrication.  相似文献   

2.
The fabrication of high‐resolution nanostructures in both poly(p‐phenylene vinylene), PPV, and a crosslinkable derivative of poly(9,9′‐dioctylfluorene), F8, using scanning near‐field optical lithography, is reported. The ability to draw complex, reproducible structures with 65000 pixels and lateral resolution below 60 nm (< λ/5) is demonstrated over areas up to 20 μm × 20 μm. Patterning on length‐scales of this order is desirable for realizing applications both in organic nanoelectronics and nanophotonics. The technique is based on the site‐selective insolubilization of a precursor polymer under exposure to the confined optical field present at the tip of an apertured near‐field optical fiber probe. In the case of PPV, a leaving‐group reaction is utilized to achieve insolubilization, whereas the polyfluorene is insolubilized using a photoacid initiator to create a crosslinked network in situ. For PPV, resolubilization of the features is observed at high exposure energies. This is not seen for the crosslinked F8 derivative, r‐F8Ox, allowing us to pattern structures up to 200 nm in height.  相似文献   

3.
The fabrication of high‐resolution nanostructures in both poly(p‐phenylene vinylene), PPV, and a crosslinkable derivative of poly(9,9′‐dioctylfluorene), F8, using scanning near‐field optical lithography, is reported. The ability to draw complex, reproducible structures with 65000 pixels and lateral resolution below 60 nm (< λ/5) is demonstrated over areas up to 20 μm × 20 μm. Patterning on length‐scales of this order is desirable for realizing applications both in organic nanoelectronics and nanophotonics. The technique is based on the site‐selective insolubilization of a precursor polymer under exposure to the confined optical field present at the tip of an apertured near‐field optical fiber probe. In the case of PPV, a leaving‐group reaction is utilized to achieve insolubilization, whereas the polyfluorene is insolubilized using a photoacid initiator to create a crosslinked network in situ. For PPV, resolubilization of the features is observed at high exposure energies. This is not seen for the crosslinked F8 derivative, r‐F8Ox, allowing us to pattern structures up to 200 nm in height.  相似文献   

4.
Within the past years there has been much effort in developing and improving new techniques for the nanoscale patterning of functional materials used in promising applications like nano(opto)electronics. Here a high‐resolution soft lithography technique—nanomolding in capillaries (NAMIC)—is demonstrated. Composite PDMS stamps with sub‐100 nm features are fabricated by nanoimprint lithography to yield nanomolds for NAMIC. NAMIC is used to pattern different functional materials such as fluorescent dyes, proteins, nanoparticles, thermoplastic polymers, and conductive polymers at the nanometer scale over large areas. These results show that NAMIC is a simple, versatile, low‐cost, and high‐throughput nanopatterning tool.  相似文献   

5.
Microcontact printing has been shown to be a viable lithographic technique for the fabrication of a variety of microelectronic components, including source/drain and gate electrodes for organic field effect transistors. Future manufacturing efforts may require a means of mass producing stamps for this process. In the present work, stamps for microcontact printing were rapidly produced by injection molding a commercial polyurethane resin, using a silicon master as the mold insert. The performance of these stamps was evaluated by microcontact printing gold coated silicon surfaces with a fluorinated alkanethiol. Etching of the stamped surface protected by the patterned alkanethiol revealed excellent replication of the submicron linear features of the micromold. The use of injection molding as a standard method for the production of stamps for microcontact printing is proposed and may have advantages for future nanotechnology applications that require mass production of stamps. Because a wide range of polymers may be injection molded, this method may make possible the fabrication of stamps with improved mechanical and chemical properties compared to polydimethylsiloxane based stamps.  相似文献   

6.
Classical microcontact printing and polymer pen lithography (PPL) involve ink transfer to substrates using solid elastomeric stamps. Ink depletion thus limits the number of successive stamping steps without reinking. Porous stamps developed to overcome this limitation are used only for manual proof‐of‐principle experiments. Here, porous composite stamps for scanner‐based capillary stamping (SCS) that can be mounted on automated printing devices designed for PPL are developed. Porous SCS composite stamps consist of a rigid controlled porous silica glass (CPG) layer and a porous polymeric stamping layer. The latter can be topographically structured with contact elements by replication molding. The mechanical stabilization by the CPG layer ensures that the contact elements are coplanar. SCS allows automated, continuous, high‐throughput patterning enabled by ink supply through the porous SCS composite stamps. Even after more than 800 consecutive stamp–substrate contacts without reinking (the porous SCS composite stamps themselves are used as ink reservoirs), ink microdroplets are deposited without deterioration of the pattern quality. However, SCS also allows supply of additional ink during ongoing stamping operations through the pore systems of the porous SCS composite stamps. SCS can easily be adapted for multi‐ink patterning and may pave the way for further upscaling of contact lithography.  相似文献   

7.
Commonly stamps or masters for nanoimprinting are made by electron beam lithography (EBL) and subsequent reactive ion etching into silicon. Here we present a single step procedure to prepare stamps suitable for nanoimprinting and hot embossing. The stamps are directly fabricated in HSQ (hydrogen silsequioxane), a negative EBL resist, which has a high lateral resolution and good mechanical properties. We demonstrate successful pattern transfer in both bulk PMMA and PCL by hot embossing with features down to 20 nm. Such pattern transfer is useful for biological applications. Also, we demonstrate that this approach can make stamps suitable for nanoimprint lithography and have achieved features as small as 35 nm. It was found that the stability and strength of the HSQ could be improved by annealing and that the application of a non-stick coating was not necessarily required although it aided the demoulding.  相似文献   

8.
Nanoimprint lithography (NIL) is a fast replication technology for structures with sizes ranging from micrometer down to few nanometers range. This paper describes the technology for imprinting of polymer substrates as well as spin-on polymers by using soft working stamp materials. A fully automated hot embossing system, the EVG®750 was built to use this rapid replication processes. By utilizing soft working stamps, we demonstrate the possibility to replicate, in fully automated mode, both high-aspect ratio features in thermoplastic materials as needed for microfluidic lab-on-chip applications as well as high resolution features down to 50 nm in polymer that can be used as templates for pattern transfer in the fabrication of plasmonic substrates for bio-sensing applications.  相似文献   

9.
Nanosphere lithography is used to process nanopore‐structured electrodes, which are applied into the fabrication of bottom‐gate, bottom‐contact configuration organic field effect transistors (OFETs) to serve as source/drain elecrodes. The introduction of this nanopore‐structure electrode facilitates the forming of nanopore‐structure pentacene layers with small grain boundaries at the electrode interface, and then reduces the contact resistance, contact‐induces the growth of pentacene and accordingly improves the mobility of charge carriers in the OFETs about 20 times as compared with results in literature through enhancing the charge carrier injection. It is believed that this structure of electrode is a valuable approach for improving organic filed effect transistors.  相似文献   

10.
Patterning of metallic nanogaps with ultrasmall gap size on arbitrary substrates is of great importance for various applications in nanoelectronics, nanoplasmonics, and flexible optoelectronics. Common lithographic approaches suffer from limited resolution in defining ultrasmall nanogaps and restrictive available substrates for flexible and stretchable devices. In this work, a process portfolio to overcome the above limitations is proposed, enabling the fabrication of multiscale metallic nanogaps with reduced gap size on specific substrates for functional devices. The portfolio combines the recently developed sketch and peel lithography strategy, nanotransfer printing, and post‐mechanical assembly. Among the portfolio, the sketch and peel lithography strategy provides the unique capability to rapidly and reliably define multiscale adhesion‐free metallic nanostructures and nanogaps, which significantly facilitates the subsequent transfer printing process. Nanoplasmonic and nanoelectronic devices with ultrasmall nanogaps that are inaccessible with existing patterning approaches are fabricated to demonstrate the applicability of this fabrication strategy. The portfolio could also have potential for a variety of other applications in flexible and stretchable optics, electronics, and optoelectronics.  相似文献   

11.
Conventional photolithography uses rigid photomasks of fused quartz and high‐purity silica glass plates covered with patterned microstructures of an opaque material. We introduce new, transparent, elastomeric molds (or stamps) of poly(dimethylsiloxane) (PDMS) that can be employed as photomasks to produce the same resist pattern as the pattern of the recessed (or non‐contact) regions of the stamps, in contrast to other reports in the literature[1] of using PDMS masks to generate edge patterns. The exposure dose of the non‐contact regions with the photoresist through the PDMS is lower than that of the contact regions. Therefore, we employ a difference in the effective exposure dose between the contact and the non‐contact regions through the PDMS stamp to generate the same pattern as the PDMS photomask. The photomasking capability of the PDMS stamps, which is similar to rigid photomasks in conventional photolithography, widens the application boundaries of soft‐contact optical lithography and makes the photolithography process and equipment very simple. This soft‐contact optical lithography process can be widely used to perform photolithography on flexible substrates, avoiding metal or resist cracks, as it uses soft, conformable, intimate contact with the photoresist without any external pressure. To this end, we demonstrate soft‐contact optical lithography on a gold‐coated PDMS substrate and utilized the patterned Au/PDMS substrate with feature sizes into the nanometer regime as a top electrode in organic light‐emitting diodes that are formed by soft‐contact lamination.  相似文献   

12.
Using high‐aspect‐ratio nanostructures fabricated via two‐photon laser‐scanning lithography, we examine the deformation of elastomeric stamps used in soft nanolithography and the fidelity of patterns and replicas made using these stamps. Two‐photon laser‐scanning lithography enables us to systematically regulate the aspect ratio and pattern density of the nanostructures by varying laser‐scanning parameters such as the intensity of the laser beam, the scanning speed, the focal depth inside the resist, and the scanning‐line spacing. Two commercially available stamp/mold materials with different moduli have been investigated. We find that the pattern‐transfer fidelity is strongly affected by the pattern density. In addition, we demonstrate that true three‐dimensional structures can be successfully replicated because of the flexible nature of elastomeric poly(dimethylsiloxane).  相似文献   

13.
Nanofabrication is an indispensable process in nanoscience and nanotechnology. Unconventional lithographic techniques are often used for fabrication as alternatives to photolithography because they are faster, more cost‐effective, and simpler to use. However, these techniques are limited in scalability and utility because of the collapse of preprinted structures during step‐and‐repeat processes. This study proposes a new class of temperature‐controllable polymeric molds that are coated with a metal such that any site‐specific patterning can be accomplished in a programmable manner using selective contact‐dewetting lithography. The lithography allows sub‐100 nm patterning, step‐and‐repeat processing, and hierarchical structure fabrication. The programmable feature of the lithography can be utilized for the structural coloring and shaping of objects. Large‐area programmable patterning, semiconductor device manufacturing, and the fabrication of iridescent security devices would benefit from the unique features of the proposed strategy.  相似文献   

14.
The global LED (light emitting diode) market reached 5 billion dollors in 2008 and will be driven towards 9 billion dollors by 2011 [1]. The current applications are dominated by portable device backlighting, e.g. cell phones, PDAs, GPS, laptop etc. In order to open the general lighting market doors the luminous efficiency needs to be improved significantly. Photonic crystal (PhC) structures in LEDs have been demonstrated to enhance light extraction efficiency on the wafer level by researchers [2]. However, there is still a great challenge to fabricate PhC structures on LED wafers cost-effectively. Nanoimprint lithography (NIL) [3] has attracted considerable attentions in this field due to its high resolution, high throughput and low cost of ownership (CoO). However, the current NIL techniques with rigid stamps rely strongly on the substrate flatness and the production atmosphere. Those factors hinder the integration of NIL into high volume production lines. UV-NIL with flexible stamps [4], e.g. PDMS stamps, allows the large-area imprint in a single step and is less-sensitive to the production atmosphere. However, the resolution is normally limited due to stamp distortion caused by imprint pressure.A novel NIL technique developed by Philips Research and Süss MicroTec, substrate conformal imprint lithography (SCIL), bridges the gap between UV-NIL with rigid stamp for best resolution and soft stamp for large-area patterning. Based on a cost-effective upgrade on Süss mask aligner, the capability can be enhanced to nanoimprint with resolution of down to sub-10 nm on an up to 6 inch area without affecting the established conventional optical lithographic processes on the machine. Benefit from the exposure unit on the mask aligners, the SCIL process is now extended with UV-curing option, which can help to improve the throughput dramatically. In this paper, the fabrication of photonic crystal structures with SCIL technique on Süss MA6 mask aligner is demonstrated. In addition, the industrialization considerations of UV-SCIL process in high volume manufacturing are briefly discussed.  相似文献   

15.
Soft lithographic microcontact printing using the residual polydimethylsiloxane (PDMS) found in elastomeric PDMS stamps is demonstrated to lead to unstable prints with sub‐micrometer dimensions. The statics and dynamics of the process have been followed with time‐resolved atomic force microscopy, imaging ellipsometry, water contact angle measurement, and optical diffraction. It is proposed that this instability places a fundamental limitation on patterning by macromolecular fluids, which is of general relevance to soft lithography and nanoimprint lithography with low viscosity polymers.  相似文献   

16.
The mask fabrication is a critical issue for the development of nanoimprint lithography (NIL) as an effective low-cost mass production technique. In this paper, we present results on the synthesis and the use of low-viscosity hybrid organic/inorganic resists based on polyhedral silsesquioxane cages functionalized with photo-polymerizable aliphatic epoxy groups. These materials are very attractive because they present a high thermal and mechanical resistance (as well as a reduced dielectric constant) and they can be processed by ultraviolet (UV)-assisted NIL. For these reasons, they are good candidates for the fabrication of 2nd generation stamps for both thermal and UV-NIL.  相似文献   

17.
《III》1999,12(6):18-23
Tremendous advances in lithography have spearheaded the shrinkage of device dimensions, playing a crucial role in the evolution of microelectronics. Although resist processing and etching are extremely important, advances in submicron structures are likely to be governed more by lithography than anything else. Electron beam lithography (EBL) is the best developed and most versatile high-resolution pattern-generation technique now available. This article discusses some recent applications of EBL in fabricating features in the nanometre scale, with a special emphasis on the fabrication of structures for applications in the exciting field of photonics and smart micro-optics.  相似文献   

18.
With only two matched processing steps, the fabrication of thick nanoporous alumina membranes with mono‐oriented, perfect hexagonal packing of pores, and precise control of all structural parameters over large areas is demonstrated. The cylindrical pores are uniform in shape and widely tunable in their dimensions and spatial distribution, with aspect ratios as high as 500. In brief, electropolished aluminum is first patterned using three‐beam interference lithography in a single step and then anodized in a hard regime. The periodic concavities in the aluminum surface guide the pore nucleation, and the self‐ordering phenomenon guarantees the maintenance of the predefined arrangement throughout the entire layer. In contrast to other methods, the interpore distance can be easily adjusted, the porous layer is not limited in thickness, no prefabricated stamps are involved, and the periodic pattern can be easily reproduced without risk of degradation. The approach overcomes the time, cost, and scale limitations of other existing processes. These membranes are well‐suited for the templated fabrication of perfectly ordered arrays of highly uniform 1D nanostructures. Thus, the application fields of these functional membranes are diverse: magneto‐optical and opto‐electronic devices, photonic crystals, solar cells, fuel cells, and chemical and biochemical sensing systems, to name a few.  相似文献   

19.
The excitation of surface plasmons in metallic nanostructures provides an opportunity to localize light at the nanoscale, well below the scale of the wavelength of the light. The high local electromagnetic field intensities generated in the vicinity of the nanostructures through this nanofocusing effect are exploited in surface enhanced Raman spectroscopy (SERS). At narrow interparticle gaps, so‐called hot‐spots, the nanofocusing effect is particularly pronounced. Hence, the engineering of substrates with a consistently high density of hot‐spots is a major challenge in the field of SERS. Here, a simple bottom‐up approach is described for the fabrication of highly SERS‐active gold core‐satellite nanostructures, using electrostatic and DNA‐directed self‐assembly. It is demonstrated that well‐defined core‐satellite gold nanostructures can be fabricated without the need for expensive direct‐write nanolithography tools such as electron‐beam lithography (EBL). Self‐assembly also provides excellent control over particle distances on the nanoscale. The as‐fabricated core‐satellite nanostructures exhibit SERS activities that are superior to commercial SERS substrates in signal intensity and reproducibility. This also highlights the potential of bottom‐up self‐assembly strategies for the fabrication of complex, well‐defined functional nanostructures with future applications well beyond the field of sensing.  相似文献   

20.
In this work a new variation of microcontact printing is described, which is used to transfer chemical patterns onto different substrates. The approach is based on the use of conventional elastomeric stamps modified with polyelectrolyte brushes. It is demonstrated that, by using stamps modified with brushes acting as preconcentrating/sorbent nanolayers, it is possible to control the uptake of aqueous inks containing ionic species. This controlled uptake can be easily used for site‐selective delivery of the loaded species by means of soft lithography. The potential of this approach is demonstrated by creating patterned counterion domains in a flat polyelectrolyte brush and by promoting a site‐selective metallization through galvanic displacement reactions with reactive species.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号