首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
X.B. Yan  T. Xu  G. Chen  H.W. Liu  S.R. Yang 《Carbon》2004,42(15):3103-3108
Hydrogenated amorphous carbon (a-C:H) films were deposited on Si substrates by electrolysis in a methanol solution at ambient pressure and a low temperature (50 °C), using various deposition voltages. The influence of deposition voltage on the microstructure of the resulting films was analyzed by visible Raman spectroscopy at 514.5 nm and X-ray photoelectron spectroscopy (XPS). The contents of sp3 bonded carbon in the various films were obtained by the curve fitting technique to the C1s peak in the XPS spectra. The hardness and Young’s modulus of the a-C:H films were determined using a nanoindenter. The Raman characteristics suggest an increase of the ratio of sp3/sp2 bonded carbon with increasing deposition voltage. The percentage of sp3-bonded carbon is determined as 33–55% obtained from XPS. Corresponding to the increase of sp3/sp2, the hardness and Young’s modulus of the films both increase as the deposition voltage increases from 800 V to 1600 V.  相似文献   

2.
Carbon-based thin films are ideal materials for several state-of-the-art applications, such as protective materials and as active films for organic electronics, medical, optoelectronic devices. In this work, we study in detail the effect of the ion-bombardment and the hydrogen partial pressure during deposition on the optical properties of hydrogenated amorphous carbon (a-C:H) thin films grown onto c-Si substrates by rf magnetron sputtering. The optical properties of the a-C:H films were investigated by phase modulated Spectroscopic Ellipsometry in a wide spectral region from the NIR to the Vis-far UV (0.7-6.5 eV). A dispersion model based on two Tauc-Lorentz oscillators, has been applied for the analysis of the measured < ε(ω)> of the a-C:H films to describe the π-π* and σ-σ* interband electronic transitions, that can describe accurately the optical properties of all amorphous carbons. The applied Vb influences the bombardment of the growing thin films with Ar ions affecting the content of sp2 and sp3 hybridized carbon bonds in the films. As it was found, the increase of the applied negative voltage reduces the optical transparency of the a-C:H films. Also, the H incorporation has been found to change only the energy position of the σ-σ* transitions. Finally, from the study of the refractive index n(ω = 0 eV) it has been found that the increase of the ion bombardment during the films deposition is correlated to an increase in the films density.  相似文献   

3.
《Ceramics International》2022,48(15):21451-21458
During the deposition of a-C:H film, defects (pinholes or discontinuities) caused by excessive stress will inevitably appear, which will reduce the corrosion resistance of the a-C:H film. In this study, top a-C:H:Si:O layers (thickness of approximately 0.3 μm) on the surface of a-C:H films were deposited on a large scale by PACVD technology using acetylene (C2H2) and/or hexamethyldisiloxane (HMDSO) as reactants, to improve the corrosion resistance of a-C:H films while ensuring the appropriate overall hardness of the films. The corrosion behaviors of the films were studied by electrochemical impedance spectroscopy (EIS) and Tafel polarization. We found that the a-C:H/a-C:H:Si:O films possess a lower electrolyte penetration rate due to their stronger capacitance characteristics. In addition, the corrosion current density of the a-C:H/a-C:H:Si:O films (10?10 A cm?2) were reduced by 2 orders of magnitude compared to the a-C:H film (10?8 A cm?2), and by 3 orders of magnitude compared to 316 stainless steel (10?7 A cm?2). The impedance results obtained by EIS were simulated using appropriate equivalent circuits, and the corresponding electrical parameters were used to further verify the electrochemical protection behavior of the top a-C:H:Si:O layer.  相似文献   

4.
Hydrogen-free a-C:Si films with Si concentration from 3 to 70 at.% were prepared by magnetron co-sputtering of pure graphite and silicon at room temperature. Mechanical properties (hardness, intrinsic stress), film composition (EPMA and XPS) and film structure (electron diffraction, Raman spectra) were investigated in dependence on Si concentration, substrate bias and deposition temperature. The film hardness was maximal for ∼ 45 at.% of Si and deposition temperatures 600 and 800 °C. Reflection electron diffraction indicated an amorphous structure of all the films. Raman spectra showed that the films in the range of 35–70 at.% of Si always contain three bands corresponding to the Si, SiC and C clusters. Photoelectron spectra showed dependency of Si–C bond formation on preparation conditions. In the films close to the stoichiometric SiC composition, the surface and sub-surface carbon atoms exhibited dominantly sp3 bonds. Thus, the maximal hardness was observed in nanocomposite a-C:Si films with a small excess of carbon atoms.  相似文献   

5.
Hard amorphous hydrogenated carbon (a-C:H) films were deposited by plasma decomposition of CH4 gas in a RF parallel-plate hollow-cathode system. The deposition system was built by placing a metallic plate in parallel to and in electrical contact with an usual RF-PECVD planar cathode. Self-bias versus RF power curves were used to make an initial characterization of plasma discharges in nitrogen gas atmospheres, for pressures between 10 and 100 mTorr. The strongly increased power consumption to obtain the same self-bias in the hollow-cathode system evidenced an increase in plasma density. The a-C:H films were deposited onto Si single crystalline substrates, in the − 50 to − 500 V self-bias range, at 5, 10 and 50 mTorr deposition pressures. The film deposition rate was found to be about four times than that usually observed for single-cathode RF-PECVD-deposited films, under methane atmosphere, at similar pressure and self-bias conditions. Characterization of film structure was carried out by Raman spectroscopy on films deposited at 10 and 50 mTorr pressures. Gaussian deconvolution of the Raman spectra in its D and G bands shows a continuous increase in the ID/IG integrated band intensity ratio upon self-bias increase, obeying the expected increasing behavior of the sp2 carbon atom fraction. The peak position of the G band was found to increase up to − 300 V self-bias, showing a nearly constant behavior for higher self-bias absolute values. On the other hand, the G band width showed a nearly constant behavior within the entire self-bias range. Nanohardness measurements have shown that films deposited with self-bias greater than 300 V are as hard as films obtained by the usual PECVD techniques, showing a maximum hardness of about 18 GPa. Films were also found to develop high internal compressive stress. The stress dependence on self-bias showed a strong maximum at about − 200 V self-bias, with a maximum stress value of about 5 GPa.  相似文献   

6.
In this work a carbon target was sputtered by a methane/argon/nitrogen plasma in order to produce nitrogenated diamond-like carbon films (a-C:H:N). As the N2 content in the sputtering gas was increased, the deposition rate increased markedly. Rutherford backscattering spectrometry (RBS) was used to investigate the chemical composition of the films. This nitrogen incorporation modifies the chemical bonding structure of the films, as shown by the analysis of the Raman spectra, including the occurrence of two extra peaks at approximately 2200 and 690 cm−1. Electrical properties were measured through capacitance–voltage (CV) curves. The hardness of the films decreased with the N content as shown by measurements performed by indentation method. A correlation among the Raman studies, the N content in the films, the dielectric constant and the surface hardness is presented.  相似文献   

7.
Nitrogen doped diamond-like carbon (DLC:N) thin films were deposited on p-type silicon (p-Si) and quartz substrates by microwave (MW) surface-wave plasma (SWP) chemical vapor deposition (CVD) at low temperature (< 100 °C). For films deposition, argon (Ar: 200 sccm), acetylene (C2H2:10 sccm) and nitrogen (N: 5 sccm) were used as carrier, source and doping gases respectively. DLC:N thin films were deposited at 1000 W microwave power where as gas composition pressures were ranged from 110 Pa to 50 Pa. Analytical methods such as X-ray photoelectron spectroscopy (XPS), UV-visible spectroscopy, FTIR and Raman spectroscopy were employed to investigate the chemical, optical and structural properties of the DLC:N films respectively. The lowest optical gap of the film was found to be 1.6 eV at 50 Pa gas composition pressure.  相似文献   

8.
Nitrogen-doped ultrananocrystalline diamond (UNCD)/hydrogenated amorphous carbon (a-C:H) composite films, which possess n-type conduction with enhanced electrical conductivities, were prepared by pulsed laser deposition and they were structurally studied by Fourier transform infrared (FTIR) spectroscopy. The film with a nitrogen content of 7.9 at.% possessed n-type condition with an electrical conductivity of 18 S/cm at 300 K. The FTIR spectra revealed peaks due to nitrogen impurities, C = N, C-N, and CHn (n = 1, 2, 3) bands. The sp2-CHn/(sp2-CHn + sp3-CHn), estimated from the area-integration of decomposed peaks, were 24.5 and 19.4% for undoped and 7.9 at.% doped films, respectively. The nitrogen-doping not only form the chemical bonds between carbon and nitrogen atoms such as C = N and C-N bonds but also facilitate the formation of both sp2 and sp3 bonds, in particular, the sp3-CHn bond is preferentially formed. From the analysis of the FTIR spectra, it was found that the hydrogen content in the film is increased with an increase in the nitrogen content. The increased hydrogen content might be owing to the enhanced volume of grain boundaries (GBs) between UNCD grains, and those between UNCD grains and an a-C:H matrix, which is caused by a reduction in the UNCD grain size. The CHn peaks predominantly come from an a-C:H matrix and GBs. Since the nitrogen-doping for a-C:H has been known to be hardly effective, the n-type conduction with the enhanced electrical conductivities might be attributed to the sp2-CHn formation at the GBs.  相似文献   

9.
Graphite-like hard hydrogenated amorphous carbon (a-C:H) was deposited using an Ar-C2H2 expanding thermal plasma chemical vapour deposition (ETP-CVD) process. The relatively high hardness of the fast deposited a-C:H material leads to high compressive stress resulting in poor adhesion between the carbon films and common substrates like silicon, glass and steel. A widespread solution to this problem is the use of an adhesion interlayer. Here we report on the changes in adhesion between the graphite-like a-C:H films and M2 steel substrates when different types of interlayers are used. Insignificant to very small improvements in adhesion were observed when using amorphous silicon oxide (a-SiOx), amorphous organosilicon (a-SiCxOy:Hz) and amorphous hydrogenated silicon carbide (a-SiCx:Hy) as adhesion layers. However, when sputtered Ti was used as an interlayer, the adhesion increased significantly. The dependence of the adhesive properties on the deposition temperature and interlayer thickness, as well as on the thickness of the a-C:H layer is presented and discussed. The low wear rates measured for the a-C:H/Ti/M2 stack suggest that these films are ideal for tribological applications.  相似文献   

10.
Amorphous hydrogenated carbon nitride [a-C:H(N)] films were deposited from the mixture of C2H2 and N2 using the radio frequency plasma enhanced chemical vapor deposition technique. The films were characterized by X-ray photon spectroscopy, infrared, and positron annihilation spectroscopy. The internal stress was measured by substrate bending method. Up to 9.09 at% N was incorporated in the films as the N2 content in the feed gas was increased from 0 to 75%. N atoms are chemically bonded to C as C–N, CN and CN bond. Positron annihilation spectra shows that density of voids increases with the incorporation of nitrogen in the films. With rising nitrogen content the internal stress in the a-C:H(N) films decrease monotonically, and the rate of decrease in internal stress increase rapidly. The reduction of the average coordination number and the relax of films structure due to the decrease of H content and sp3/sp2 ratio in the films, the incorporation of nitrogen atoms, and the increases of void density in a-C:H(N) films are the main factors that induce the reduction of internal stress.  相似文献   

11.
Dielectric materials with lower permittivity (low k) are required for isolation to reduce the interconnect RC delay in deep submicron integrated circuit. In this work, carbon doped silicon oxide [SiO(C–H)] films are investigated as a potential low k material. The films were prepared by the radio frequency plasma enhanced chemical vapor deposition (PECVD) technique from trimethylsilane (C3H10Si or 3MS) in an oxygen (O2) environment. SiO(C–H) films deposited with O2 and 3MS flow rates of 100 sccm and 600 sccm, respectively have been previously found to produce dielectric constant as low as 2.9. This is attributed to the incorporation of carbon in the form of Si–CH3 bond, which has lower polarizability compared to the Si–O bonds that were replaced. In this work, these low k films were annealed at 400, 500, 600 and 700 °C in a N2 atmosphere for 30 min to determine the thermal stability of their properties. The films were characterized in terms of their thickness shrinkage, refractive indices, dielectric constants, infrared absorption, surface morphology and stress upon annealing. For annealing temperatures up to 500 °C, which is beyond the current highest processing temperature for back end of the line structure of around 450 °C, a slight decrease in the refractive indices and dielectric constants of the films are observed. The SiO(C–H) films also remain smooth and exhibit tensile stress with stress level that is within practical acceptable range. The results suggest that the SiO(C–H) films are thermally stable to be applied as low dielectric constant materials for deep submicron integrated circuit.  相似文献   

12.
Research on hydrogen amorphous carbon films (a-C:H), which possess the diamond-like characteristic, has been stimulated for many years by need to simultaneously optimizing the mechanical, optical and biological properties, and by challenges related to the deposition of a-C:H films on medical implants. In the present work, we investigate the structure, optical and mechanical properties (hardness, elastic modulus and stress) of a-C:H films deposited on 316L stainless steel substrate by the radio frequency plasma enhanced chemical vapor deposition (RF PECVD). The negative self-bias voltages significantly influence on temperature of steel substrates during the deposition process and films properties. Specifically, the high energetic deposition leads also to stabilization of the sp2 content and thermally-activated relaxation in the stress of a-C:H films. Presented correlation between the obtained results and literature analysis let deem the Raman spectra as a good tool to control the properties of implants made of 316L stainless steel with a-C:H film for general use.  相似文献   

13.
The shrinkage of the devices and wiring dimensions in the ULSI chips is associated with an increased resistance of the interconnect metallization and increased interlevel and intralevel capacitances, causing corresponding longer signal delays. Low dielectric constant (k) insulators, with k significantly lower than that of presently used SiO2 are needed for reducing these capacitances and improving the switching performances of future ULSI circuits. Integration of low-k insulators in the ULSI circuits will also reduce the power required to operate them. Diamond-like carbon (DLC) has found a variety of applications based on its attractive mechanical, tribological, optical and chemical resistance properties. The films are also dielectrics whose electrical resistivities can reach values of 1016 Ω-cm at low fields. The DLC-type materials are attractive dielectrics because of their isotropic properties and the ability to deposit them by plasma assisted CVD techniques. However, the amorphous carbon materials with diamond-like properties are characterized by dielectric constants that are not lower than that of SiO2 (k=4). It was found that, by adjusting the deposition conditions of plasma deposited hydrogenated DLC (a-C:H), it is possible to reduce its dielectric constant to values between >3.3 and 2.7. Incorporation of the low-k materials in the ULSI structures imposes a significant number of requirements that they have to satisfy, among them stability at the processing temperature of 400°C. While DLC films having dielectric constants k>3.3 appeared to be stable to anneals of 4 h at 400°C in inert ambiance, the thermal stability decreased with decreasing dielectric constant. Incorporation of fluorine in FDLC films produces a material of apparently higher thermal stability and further reduced dielectric constants, to values even lower then 2.4. The as-deposited low-k DLC or FDLC films may be thermally stabilized, in terms of dimensional stability and material loss, by an initial anneal, that also causes a significant reduction in the intrinsic film stress, typical of DLC type materials. The integration of the low-k films in the interconnect structures further requires good adhesion with thermally stable interfaces to materials in contact with the low-k dielectric. Such materials may include processing aids and structural components such as silicon nitride or oxide, and wire cladding metallurgy. The paper discusses the preparation and characterization of the low-k DLC and FDLC films, approaches for their thermal stabilization and evaluation of integration issues.  相似文献   

14.
Results of a systematic investigation on the effects of some deposition parameters (partial pressure of CF4 and self-bias voltage) on the microstructure, mechanical and tribological properties of a-C:H:F films are presented. The films were deposited by r.f.-PECVD using CH4–CF4 mixtures. The film composition was measured by ion beam analysis and, combining these results with the film thickness, the film density was determined. The structural arrangement was probed by Raman spectroscopy and the chemical bonding was investigated by infrared absorption and X-ray photoelectron spectroscopies. The hardness was measured by microindentation and the internal stress was determined by measuring the changing of the substrate curvature after the film deposition. The friction coefficient was measured by lateral force microscopy. The results indicate that the properties of a-C:H:F films are controlled by the ionic bombarding during the film growth. For a fixed self-bias, the increase of the CF4 partial pressure leads to a transition from diamond-like to a polymer-like structure, to a higher fluorine incorporation and to a decrease of both hardness and internal stress. The friction coefficient decreases too. The fluorine incorporation also increases with the increase of the self-bias and was associated to higher plasma decomposition. Fluorine-poor polymer-like films were deposited at low self-bias (−50 V). In both situations, fluorine incorporation occurs at the expenses of the hydrogen content and the reduction of the energy of the bombarding species results in less dense and soft films with a polymer-like structure.  相似文献   

15.
《Ceramics International》2016,42(8):9341-9346
BaSn0.15Ti0.85O3 (BTS) thin films were deposited on Pt/Ti/SiO2/Si(1 0 0) substrate by pulsed laser deposition and the effects of substrate temperature on their structure, dielectric properties and leakage current density were investigated. The results indicate that the substrate temperature has a significant effect on the structural and dielectric properties of the BTS thin films which exhibit a polycrystalline perovskite structure if the substrate temperature ranges within 550–750 °C. The dielectric constant and loss tangent of the BTS thin films deposited at 650 °C are 341 and 0.009 at 1 MHz, respectively, the tunability is 72.1% at a dc bias field of 400 kV/cm, while the largest figure of merit (FOM) is 81.1. The effect of the substrate temperature on the leakage current of the BTS thin films is discussed.  相似文献   

16.
Cerium oxide (CeO2) thin films used liquid injection atomic layer deposition (ALD) for deposition and ALD procedures were run at substrate temperatures of 150°C, 200°C, 250°C, 300°C, and 350°C, respectively. CeO2 were grown on n-Si(100) wafers. Variations in the grain sizes of the samples are governed by the deposition temperature and have been estimated using Scherrer analysis of the X-ray diffraction patterns. The changing grain size correlates with the changes seen in the Raman spectrum. Strong frequency dispersion is found in the capacitance-voltage measurement. Normalized dielectric constant measurement is quantitatively utilized to characterize the dielectric constant variation. The relationship extracted between grain size and dielectric relaxation for CeO2 suggests that tuning properties for improved frequency dispersion can be achieved by controlling the grain size, hence the strain at the nanoscale dimensions.  相似文献   

17.
ABSTRACT: The effect of reaction temperature on the synthesis of graphitic thin film on nickel substrate was investigated in the range of 400 [DEGREE SIGN]C to 1,000 [DEGREE SIGN]C. Amorphous carbon (a-C) film was obtained at 400 [DEGREE SIGN]C on nickel foils by chemical vapor deposition; hybrid films of multilayer graphene (MLG) and a-C were synthesized at a temperature of 600 [DEGREE SIGN]C, while MLG was obtained at temperatures in excess of 800 [DEGREE SIGN]C. Schottky-junction solar cell devices prepared using films produced at 400 [DEGREE SIGN]C, 600 [DEGREE SIGN]C, 800 [DEGREE SIGN]C, and 1,000 [DEGREE SIGN]C coupled with n-type Si demonstrate power conversion efficiencies of 0.003%, 0.256%, 0.391%, and 0.586%, respectively. A HNO3 treatment has further improved the efficiencies of the corresponding devices to 0.004%, 1.080%, 0.800%, and 0.820%, respectively. These films are promising materials for application in low-cost and simple carbon-based solar cells.  相似文献   

18.
Titanium oxide thin films were prepared on p-Si(l00) substrate by plasma enhanced chemical vapor deposition using high purity titanium isopropoxide and oxygen. The deposition rate was little affected by oxygen flow rate, but significantly affected by RF power, substrate temperature, carrier gas flow rate, and chamber pressure. Morphology of the film became coarser with increasing deposition time and chamber pressure, and the film showed less uniformity at high deposition rates. It was also found that the overall deposition process is controlled by heterogeneous surface reaction below 200°C., but controlled by mass transfer of reactants at higher temperatures. TiO2 films deposited at temperatures lower than 400°C was amorphous, but showed the anatase crystalline structure upon 400°C deposition. The dielectric constant was about 47 for the films post-treated by rapid-thermal annealing (RTA) at 800°C. The leakage current was about 2×10−5 A/cm2 for the films deposited at 400°C and RTA-treated at 600°C. However, it was decreased to less than 3×10−7 A/cm2 for the film RTA-treated at 800°C.  相似文献   

19.
Adhesion and hardness of Diamond-Like Carbon films are improved by nitriding of the steel substrate prior to PVD deposition. Since the mechanical properties of the nitrided steel layer are not homogeneous, i.e. a significant hardness decrease is observed in the upper nitrided layer close to the surface, an outer surface layer of ~ 15 μm is removed prior to the film deposition. In the present work, a 316L stainless steel substrate is nitrided in a cyanide-cyanate solution at 570 °C during 3 h. The coated system involved the deposition of a hydrogenated, amorphous carbon (a-C:H) solid lubricant of ~ 2 μm including a chromium carbide interlayer. The comparison between the hardness behavior of the DLC/steel and the DLC/nitrided steel systems reveals the existence of a very important hardness gap, which highlights the benefit of the nitriding treatment prior to coating deposition. In addition, the microhardness-depth profile is determined from a load-depth curve, by applying a simple hardness model. The predicted change in hardness is found to be in a very good agreement with the experimental profile, which allows the hardness determination both in the white layer and in the diffusion zone over ~ 30 μm in total depth. However, only the composite hardness modeling allows the accurate determination of the intrinsic hardness of the film.  相似文献   

20.
Lead zirconate titanate (PZT) precursor sols were prepared using a triol based sol–gel route. Inorganics salts metal alkoxides lead acetate trihydrate [Pb(OOCCH3)2·3H2O], titanium (IV) isopropoxide [Ti(OCH(CH3)2)4], and zirconium n-propoxide [ZrOC3H7)4] were used as starting materials. Thin films were deposited by spin coating onto Pt/Ti/SiO2/Si substrates. The samples were pre-heated (pyrolysis) on a calibrated hotplate over the temperature range of 200–400 °C for 10 min then firing at a temperature of 600 °C for 30 min. Randomly-oriented PZT thin films pre-heated at 400 °C for 10 min and annealed at 600 °C for 30 min showed well-defined ferroelectric hysteresis loops with a remanent polarization of 27 μC/cm2 and a coercive field of 115 kV/cm. The dielectric constant and dielectric loss of the PZT films were 621 and 0.040, respectively. The microstructures of the thin films are dense, crack-free and homogeneous with fine grains about 15–20 nm in size.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号