首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
High-performance inversion-type enhancement-mode n-channel In0.53Ga0.47As MOSFETs with atomic-layer-deposited (ALD) Al2O3 as gate dielectric are demonstrated. The ALD process on III-V compound semiconductors enables the formation of high-quality gate oxides and unpinning of Fermi level on compound semiconductors in general. A 0.5-mum gate-length MOSFET with an Al2O3 gate oxide thickness of 8 nm shows a gate leakage current less than 10-4 A/cm2 at 3-V gate bias, a threshold voltage of 0.25 V, a maximum drain current of 367 mA/mm, and a transconductance of 130 mS/mm at drain voltage of 2 V. The midgap interface trap density of regrown Al2O3 on In0.53Ga0.47As is ~1.4 x 1012/cm2 ldr eV which is determined by low-and high-frequency capacitance-voltage method. The peak effective mobility is ~1100 cm2 / V ldr s from dc measurement, ~2200 cm2/ V ldr s after interface trap correction, and with about a factor of two to three higher than Si universal mobility in the range of 0.5-1.0-MV/cm effective electric field.  相似文献   

2.
The effect of the Si-SiO2 interface microroughness on the electron channel mobility of n-MOSFETs was investigated. The surface microroughness was controlled by changing the mixing ratio of NH4 OH in the NH4OH-H2O2-H2O solution in the RCA cleaning procedure. The gate oxide was etched, following the evaluation of the electrical characteristics of MOS transistors, to measure the microroughness of the Si-SiO2 interface with scanning tunneling microscopy (STM). As the interface microroughness increases, the electron channel mobility, which can be obtained from the current-voltage characteristics of the MOSFET, gets lower. The channel mobility is around 360 cm2/V-s when the average interface microroughness is 0.2 nm, where the substrate impurity concentration is 4.5×1017 cm-3, i.e. the electron bulk mobility is 400 cm2/V-s. It goes down to 100 cm2/V-s when the interface microroughness exceeds 1 nm  相似文献   

3.
Effects of hydrogen postoxidation annealing (H2 POA) on 4H-silicon carbide (SiC) MOSFETs with wet gate oxide on the (112¯0) face have been investigated. As a result, an inversion channel mobility of 110 cm2/Vs was successfully achieved using H2 POA at 800°C for 30 min. H2 POA reduces the interface trap density by about one order of magnitude compared with that without H2 POA, resulting in considerable improvement of the inversion channel mobility to 3.5 times higher than that without H2 POA. In addition, 4H-SiC MOSFET with H2 POA has a lower threshold voltage of 3.1 V and a wide gate voltage operation range in which the inversion channel mobility is more than 100 cm2/Vs  相似文献   

4.
To discuss the applicability of a MOSFET with Si-implanted gate-SiO2 of 50 nm thickness to a non volatile random access memory (NVRAM) operating more than 3.3×1015 erase/write (E/W) cycles, E/W-cycle tests were performed up to 1011 cycles by measuring the hysteresis curve observed in a source follower MOSFET in which a sine-wave voltage of 100 kHz was supplied to the gate. Degradations in the threshold-voltage window of 15 V and gain factor were scarcely observed in a MOSFET with Si-implantation at 50 keV/1×1016 cm-2 at a gate voltage of ±40 V. Those degradations observed in a MOSFET with 25 keV/3×1016 cm-2 were improved by lowering the gate voltage from ±40 V to ±30 V in sacrificing the smaller threshold-voltage window from 20 to 8.5 V  相似文献   

5.
High-performance inversion-type enhancement- mode (E-mode) n-channel In0.65Ga0.35As MOSFETs with atomic-layer-deposited Al2O3 as gate dielectric are demonstrated. A 0.4-mum gate-length MOSFET with an Al2O3 gate oxide thickness of 10 nm shows a gate leakage current that is less than 5 times 10-6 A/cm2 at 4.0-V gate bias, a threshold voltage of 0.4 V, a maximum drain current of 1.05 A/mm, and a transconductance of 350 mS/mm at drain voltage of 2.0 V. The maximum drain current and transconductance scale linearly from 40 mum to 0.7 mum. The peak effective mobility is ~1550 cm2/V ldr s at 0.3 MV/cm and decreases to ~650 cm2/V ldr s at 0.9 MV/cm. The obtained maximum drain current and transconductance are all record-high values in 40 years of E-mode III-V MOSFET research.  相似文献   

6.
The authors report that the boron penetration through the thin gate oxide into the Si substrate does not only cause a large threshold voltage shift but also induces a large degradation in the Si/SiO2 interface. An atomically flat Si/SiO2 interface can be easily obtained by using a stacked-amorphous-silicon (SAS) film as the gate structure for p+ poly-Si gate MOS devices even with the annealing temperature as high as 1000°C  相似文献   

7.
Damage to n-channel MOSFETs under different levels of drain current stress is compared. It is shown that the post-stress I d-Vgs characteristics show distinctly different behavior for different stresses. These differences are interpreted in terms of the location of the stress damage along the Si-SiO2 interface. It is shown that damage from low drain current stress occurs at the Si-SiO2 interface just inside the drain junction, under strong gate control. Damage from high drain current stress occurs at the Si-SiO2 interface deeper inside the drain junction region, under weak gate control. The damage localization interpretation is supported by simulations and by localized Fowler-Nordheim injection experiments. It is further shown that at intermediate levels of drain current injection, the damage occurs at the Si-SiO2 interface in both drain regions. The differences are explained in terms of the bipolar action at high drain current levels, which forces the channel charge away from the Si-SiO2 interface at the drain junction edge  相似文献   

8.
Normally off 4H-SiC MOSFET devices have been fabricated on a p-type semiconductor and electrically characterized at different temperatures. A gate oxide obtained by nitrogen ion implantation performed before the thermal oxidation of SiC has been implemented in n-channel MOSFET technology. Two samples with a nitrogen concentration at the SiO2/SiC interface of 5 X 1018 and 1.5 X 1019 cm-3 and one unimplanted sample have been manufactured. The sample with the highest N concentration at the interface presents the highest channel mobility and the lowest threshold voltage. For increasing temperature, in all the samples, the threshold voltage decreases, and the electron channel mobility increases. The latter case attains a maximum value of about 40 cm2/V ldr s at 200degC for the sample with the highest N concentration. These trends are explained by the reduction of interface electron traps in the upper half of the band gap toward the conduction band edge. These results demonstrate that N implantation can be effectively used to improve the electrical performances of an n-type surface channel 4H-SiC MOSFET.  相似文献   

9.
Polycrystalline silicon thin film transistors have been fabricated at reduced gate oxidation thermal budgets by utilizing NF3-enhanced dry oxidation. Good performance TFTs with effective electron mobility values as high as 38 cm2/V.sec, threshold voltage values near zero, ON/OFF current ratios of up to 5×107 and subthreshold slopes of 0.3 V/dec have been fabricated at an oxidation temperature of 800°C. Stable devices at an electrical stressing field of 3 MV/cm were demonstrated. Thermal gate oxide TFTs have also been fabricated at a maximum temperature of 650°C. The effect of hydrogen plasma passivation was found to depend on process conditions and was correlated with the amount of fluorine in the area near the Si-SiO2 interface. Passivation at low power was always beneficial. Passivation at high power was highly beneficial for a limited amount of interfacial fluorine, but less beneficial or even detrimental when a large fluorine amount in the near interface area was present  相似文献   

10.
In this letter, we report the fabrication and characterization of self-aligned inversion-type enhancement-mode In0.53Ga0.47As metal-oxide-semiconductor field-effect transistors (MOSFETs). The In0.53Ga0.47As surface was passivated by atomic layer deposition of a 2.5-nm-thick AIN interfacial layer. In0.53Ga0.47As MOS capacitors showed an excellent frequency dispersion behavior. A maximum drive current of 18.5 muA/mum was obtained at a gate overdrive of 2 V for a MOSFET device with a gate length of 20 mum. An Ion/off ratio of 104, a positive threshold voltage of 0.15 V, and a subthreshold slope of ~165 mV/dec were extracted from the transfer characteristics. The interface-trap density is estimated to be ~7-8 times 1012 cm-2 ldr eV-1 from the subthreshold characteristics of the MOSFET.  相似文献   

11.
This work proposes a stacked-amorphous-silicon (SAS) film as the gate structure of the p+ poly-Si gate pMOSFET to suppress boron penetration into the thin gate oxide. Due to the stacked structure, a large amount of boron and fluorine piled up at the stacked-Si layer boundaries and at the poly-Si/SiO2 interface during the annealing process, thus the penetration of boron and fluorine into the thin gate oxide is greatly reduced. Although the grain size of the SAS film is smaller than that of the as deposited polysilicon (ADP) film, the boron penetration can be suppressed even when the annealing temperature is higher than 950°C. In addition, the mobile ion contamination can be significantly reduced by using this SAS gate structure. This results in the SAS gate capacitor having a smaller flat-band voltage shift, a less charge trapping and interface state generation rate, and a larger charge-to-breakdown than the ADP gate capacitor. Also the Si/SiO2 interface of the p+ SAS gate capacitor is much smoother than that of the p+ SAS gate capacitor  相似文献   

12.
A novel planar accumulation channel SiC MOSFET structure is reported in this paper. The problems of gate oxide rupture and poor channel conductance previously reported in SiC UMOSFETs are solved by using a buried P+ layer to shield the channel region. The fabricated 6H-SiC unterminated devices had a blocking voltage of 350 V with a specific on-resistance of 18 mΩ.cm2 at room temperature for a gate bias of only 5 V. This measured specific on-resistance is within 2.5× of the value calculated for the epitaxial drift region (1016 cm-3, 10 μm), which is capable of supporting 1500 V  相似文献   

13.
A modified three-voltage-level charge pumping (CP) technique is described for measuring interface trap parameters in MOSFETs. Charge pumping (CP) is a technique for studying traps at the Si-SiO2 interface in MOS transistors. In the CP technique, a pulse is applied to the gate of the MOSFET which alternately fills the traps with electrons and holes, thereby causing a recombination current Icp to flow in the substrate. With this technique, interface trap capture cross sections for both electrons and holes may be determined as a function of trap energy in a single device. It is demonstrated that a modified three-level charge pumping method may be used to determine not only interface trap densities but also to capture cross sections as a function of trap energy. The trap parameters are obtained for both electrons and holes using a single MOSFET  相似文献   

14.
We present metal-gate high-k-dielectric enhancement-mode (e-mode) III-V MOSFETs with the highest reported effective mobility and transconductance to date. The devices employ a GaGdO high-k (k = 20) gate stack, a Pt gate, and a delta-doped InGaAs/AlGaAs/GaAs hetero-structure. Typical 1-mum gate length device figures of merit are given as follows: saturation drive current, Id,sat = 407 muA/mum; threshold voltage, Vt = +0.26 V; maximum extrinsic transconductance, gm = 477 muS/mum (the highest reported to date for a III-V MOSFET); gate leakage current, Ig = 30 pA; subthreshold swing, S = 102 mV/dec; on resistance, Ron = 1920 Omega-mum; Ion/Ioff ratio = 6.3 x 104; and output conductance, gd = 11 mS/mm. A peak electron mobility of 5230 cm2/V. s was extracted from low-drain-bias measurements of 20 mum long-channel devices, which, to the authors' best knowledge, is the highest mobility extracted from any e-mode MOSFET. These transport and device data are highly encouraging for future high-performance n-channel complementary metal-oxide-semiconductor solutions based on III-V MOSFETs.  相似文献   

15.
A new mode of operation for Silicon-On-Insulator (SOI) MOSFET is experimentally investigated. This mode gives rise to a Dynamic Threshold voltage MOSFET (DTMOS). DTMOS threshold voltage drops as gate voltage is raised, resulting in a much higher current drive than regular MOSFET at low Vdd. On the other hand, Vt is high at Vgs =0, thus the leakage current is low. Suitability of this device for ultra low voltage operation is demonstrated by ring oscillator performance down to Vdd=0.5 V  相似文献   

16.
Abstract-We report Al2O3Zln0.53Ga0.47As MOSFETs having both self-aligned in situ Mo source/drain ohmic contacts and self-aligned InAs source/drain n+ regions formed by MBE regrowth. The device epitaxial dimensions are small, as is required for 22-nm gate length MOSFETs; a 5-nm In0.53Ga0.47As channel with an In0.4sAl0.52As back confinement layer and the n++ source/drain junctions do not extend below the 5-nm channel. A device with 200-nm gate length showed ID = 0.95 mA/mum current density at VGS = 4.0 V and gm = 0.45 mS/mum peak transconductance at VDS = 2.0 V.  相似文献   

17.
Current saturation at high voltages in MOS-gated emitter switched thyristors (ESTs) is demonstrated. It is shown that by using an improved EST structure containing a dual-channel lateral MOSFET, the thyristor current can be saturated to high voltages through MOS gate control. In experimental devices with 600-V forward blocking capability, it is observed that current densities of 110 A/cm2 could be saturated up to 450 V with a gate bias of 3.5 V. Experimental measurements and numerical simulations indicate that, during current saturation, the voltage appears across the junction between the P-base region and the N- drift region and not across the lateral MOSFET  相似文献   

18.
An 1800 V triple implanted vertical 6H-SiC MOSFET   总被引:2,自引:0,他引:2  
6H silicon carbide vertical power MOSFETs with a blocking voltage of 1800 V have been fabricated. Applying a novel processing scheme, n + source regions, p-base regions and p-wells have been fabricated by three different ion implantation steps. Our SiC triple ion implanted MOSFETs have a lateral channel and a planar polysilicon gate electrode. The 1800 V blocking voltage of the devices is due to the avalanche breakdown of the reverse diode. The reverse current density is well below 200 μA/cm2 for drain source voltages up to 90% of the breakdown voltage. The MOSFETs are normally off showing a threshold voltage of 2.7 V. The active area of 0.48 mm2 delivers a forward drain current of 0.3 A at YGS=10 V and V DS=8 V. The specific on resistance was determined to 82 mΩdcm2 at 50 mV drain source voltage and at VGS =10 V which corresponds to an uppermost acceptable oxide field strength of about 2.7 MV/cm. This specific on resistance is an order of magnitude lower than silicon DMOSFET's of the same blocking capability could offer  相似文献   

19.
Various nonplanar, multigate field-effect transistors (FET) structures have been reported that offer better gate control than planar MOSFETs. In the nanometer regime, however, multigate (nanowire) structures also suffer strong quantum confinement, which causes deleterious effects such as large threshold voltage variation. In this paper, we propose a general approach to compare planar versus nonplanar FETs with the consideration of both electrostatic integrity (gate control) and quantum confinement (the so-called "EQ approach"). With this EQ approach, we show that the cylindrical wire FET and the planar double-gate MOSFET have approximately equal scaling capability for a [001]-oriented wafer, while the nonplanar wire structures are significantly better for other wafer orientations [e.g., (011)] where the effective mass in the confinement direction of the planar MOSFET is relatively small.  相似文献   

20.
We have fabricated a high performance polycrystalline silicon (poly-Si) thin film transistor (TFT) with a silicon-nitride (SiNx ) gate insulator using three stacked layers: very thin laser of hydrogenated amorphous silicon (a-Si:H), SiNx and laser annealed poly-Si. After patterning thin a-Si:H/SiNx layers, gate, and source/drain regions were ion-doped and then Ni layer was deposited. This structure was annealed at 250°C to form a NiSi silicide phase. The low resistive Ni silicides were introduced as gate/source/drain electrodes in order to reduce the process steps. The poly-Si with a grain size of 250 nm and low resistance n+ poly-Si for ohmic contact were introduced to achieve a high performance TFT. The fabricated poly-Si TFT exhibited a field effect mobility of 262 cm2/Vs and a threshold voltage of 1 V  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号