首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 224 毫秒
1.
In this paper, the line-to-line parasitic capacitance of an advanced interconnects with a low-k dielectric (k < 3.0) was extracted by electrical measurement on comb-serpentine structures with various spacing. The empirical values are higher than the prediction from the filed solver, especially in the small geometries. A model was derived based on the damage of low-k dielectric during processing, which causes the increase of the dielectric constant. Then, the effective dielectric constant was evaluated by both simulation and theoretical models. The k value of damage zone was determined from blanket wafer by mercury probe after oxygen plasma treatment. Good agreement was obtained after we modified the simulation structure to include the damage zone. Especially, the concept of low-k damage due to plasma treatment was characterized for the first time. Thus, it is possible to use this model in the future study, such as the porous low-k in 65 nm or even 45 nm generations.  相似文献   

2.
In this paper, CO chemistry-based ash processes have been suggested to reduce carbon depletion and moisture absorption from plasma discharges for low-k/Cu interconnection in 40 nm-node Flash memory. We analyzed ash processes utilizing Fourier transform infrared spectroscopy (FTIR), k-value measurements, and sidewall-shrinking profile measurements based on a cross-sectional scanning electron microscope (SEM) image obtained before and after filling trench with Cu. In an effort to better understand the role of ash processes in ultra-narrow capacitors, we also evaluated the distribution of breakdown voltages as a function of voltage for trench-patterned wafers. In this paper, we successfully found that low-damage ash processes for low-k/Cu interconnection by adopting CO chemistry-based ash process.  相似文献   

3.
With semiconductor technologies continuously pushing the miniaturization limits, there is a growing interest in developing novel low dielectric constant materials to replace the traditional dense SiO2 insulators. In order to survive the multi-level integration process and provide reliable material and structure for the desired integrated circuits (IC) functions, the new low-k materials have to be mechanically strong and stable. Therefore the material selection and mechanical characterization are vital for the successful development of next generation low-k dielectrics. A new class of low-k materials, nanoporous pure-silica zeolite, is prepared in thin films using IC compatible spin coating process and characterized using depth sensing nanoindentation technique. The elastic modulus of the zeolite thin films is found to be significantly higher than that of other low-k materials with similar porosity and dielectric constants. Correlations between the mechanical, microstructural and electrical properties of the thin films are discussed in detail.  相似文献   

4.
The optical properties of low dielectric constant (low-k) films have been determined by variable angle spectroscopic ellipsometry in the range from 2 eV to 9 eV to characterize the process of porogen removal during the UV-cure. The studied carbon doped oxide (SiCOH) porous dielectric films have been prepared by plasma enhanced chemical vapor deposition. The films have been deposited as a composition of a matrix precursor and an organic porogen. After deposition, the films have been cured by thermal annealing and UV irradiation (λ = 172 nm) to remove the porogen and create a porosity of 33%, reaching a dielectric constant of 2.3. The process of porogen decomposition and removal has been studied on series of low-k samples, UV-cured for various times. Additional samples have been prepared by the deposition and curing of the porogen film, without SiCOH matrix, and the matrix material itself, without porogen. The analysis of the optical response of the porous dielectric as a mixture of matrix material, porogen and voids, together with Fourier transform infrared analysis, allows the sensitive detection of the volume of the porogen and indicates the existence of decomposed porogen residues inside the pores, even for long curing time. The variation of the deposition and curing conditions can control the amount of the porogen residues and the final porosity.  相似文献   

5.
We compare the effect of various dielectric-substrate interfaces on charge accumulation during vacuum ultraviolet irradiation of capped low-k porous organosilicates to find that more charges are trapped in a dielectric stack deposited on silicon compared with the same stack deposited on copper. Insertion of a 5-nm interfacial thermal oxide layer further increases the amount of trapped charges in the dielectric. The difference between the photoemission and injection currents determines the number of charges trapped in the dielectric as a result of irradiation. Fewer charges are trapped when the injection current increases.  相似文献   

6.
In this paper, new porous spin-on dielectric (HL02™, trademark of the LG Ltd.) was studied. The characterizations, such as thermal stability, chemical structure, dielectric constant (k) and mechanical properties (hardness and modulus), of methylsilsesquioxane (MSQ)-based dielectrics were evaluated. An optimized material (k = 2.25), characterized by a hardness and a modulus of 1.0 GPa and 6.5 GPa each in association with a porosity of 30% and a mean pore radius of 2.2 nm, was successfully integrated in damascene process with 10 levels of Cu/low-k film for 65 nm technology and beyond. Good electrical results were obtained in metal line resistance and leakage current.  相似文献   

7.
In this study a low-k material, methyl-silsesquiazane (MSZ) has been investigated as a passivation dielectric layer for thin-film transistor (TFT) arrays. Compared with the conventional nitride film (k ∼ 7), the MSZ passivation layer exhibits a low residual stress and low dielectric constant (k ∼ 2.6) which lowers the RC delay in a device. The high transmittance and good planarization characteristics of a low-k MSZ film enhance the brightness and aperture ratio of thin-film transistors liquid crystal displays (TFT-LCDs).  相似文献   

8.
Two structures of low dielectric constant (low-k) SiOC films were elucidated in this work. Low-k thin film by remote plasma mode was mainly composed of inorganic Si-O-Si backbone bonds and some oxygen atoms are partially substituted by CH3, which lowers k value. The host matrix of low-k thin films deposited by direct plasma mode, however, was mainly composed of organic C-C bonds and “M” and “D” moieties of organosilicate building blocks, and thus the low dipole and ionic polarizabilities were the important factors on lowering k value.  相似文献   

9.
S.K. Singh 《Thin solid films》2008,516(5):785-788
Hydrogenated amorphous silicon carbon (a-SiC:H) ultra thin films obtained by Hot wire chemical vapor deposition (HWCVD) have been shown to act as efficient diffusion barriers for copper on inter metal dielectric (IMD) layers which are of great significance for ultra-large scale integration (ULSI) circuits. In this work, we have studied the influence of the a-SiC:H barrier layer obtained by HWCVD which has implications towards issues related to the resistance to electromigration of Cu in the low dielectric (low-k) hydrogen silsesquioxane (HSQ) film. The presence of the ultra thin a-SiC:H film maintains the integrity of the Cu metal not only by suppressing Cu diffusion but also by increasing its crystallinity, which would have implications with respect to the mean time to failure (MTF) arising from metal electromigration. Though, we demonstrate this aspect on the low-k (HSQ)/Cu system, this should yield similar benefits for other low-k dielectric materials too.  相似文献   

10.
Hydrogen radical process for photoresist removal by use of hot W catalyst has been investigated for a possible application to advanced Cu/low-k dielectric interconnects in LSI. It is found that etching rates of resists depend critically on sample temperature (Ts) and are higher than 1 μm/min at the optimized condition. H radical irradiation effects on porous methylsilsesquioxane (p-MSQ) have been studied from measurements of k value and capacitance of the advanced interconnect test sample. No radical process is observed to induce the increase in k value of p-MSQ films. These results suggest that the hydrogen radical process for resist removal with W catalyst is promising for production of advanced interconnects.  相似文献   

11.
The dielectric property of anisotropic conductive film (ACF) as an interconnect materials in the flip–chip joints is becoming important concern for device packaging solution at high-frequency due to low parasitic effect on the signal transfer. The effects of non-conductive, dielectric filler content on dielectric properties of ACA materials, like dielectric constant, loss factor and loss tangent, and conductivity at high-frequency were investigated. Frequency is dominating factor in determining dielectric constant, loss factor, and conductivity. However, the filler content is dominant only on dielectric constant, not on the loss factor, and conductivity at low-frequency range. The effect of low dielectric constant (low-k) filler addition on high-frequency behavior of ACF interconnection in flip–chip assembly was also investigated. Impedance parameters of low-k ACF with Ni filler and low-k SiO2 filler extracted from measurement were compared with that of conventional ACF with only Ni filler. The resonant frequency of conventional ACF flip–chip interconnect was 13 GHz, while the resonant frequency of low-k ACF including low-k SiO2 filler was found at 15 GHz. This difference is originated from capacitance decrease of polymer matrix between bump and substrate pad due to change in dielectric constant of polymer matrix, which was verified by measurement-based modeling. The high-frequency property of the conductive adhesive flip–chip joint, such as resonant frequency can be enhanced by low-k polymer matrix.  相似文献   

12.
A mechanism for the modification of porous ultra low-k (ULK) and extreme ultra low-k (EULK) SiCOH-based materials is proposed. This is achieved by correlating film damage on a patterned structure measured by angular resolved x-ray photoelectron spectroscopy (ARXPS) with corresponding changes in reactive species radical density and ion current in the plasma measured by optical emission spectroscopy (OES), rare gas actinometry, and modeling. Line-to-line electrical leakage and capacitance data of nested line structures exposed to downstream ash plasmas suggest that other etching steps during back-end-of-the-line (BEOL) dual damascene processing are also critical for the overall modification induced to these materials.  相似文献   

13.
The incorporation of mesopores into silica films is an effective way to reduce the dielectric constant. However, the pores reduce the film mechanical strength. This study investigates two steps for preparing coating solution. One was the reflux of the silica colloid at 70 °C. The other was the addition of TPAOH (tetrapropylammonium hydroxide) into the colloid. The reflux step can increase the mechanical strength, reduce the flat band voltage and reduce the leakage current of the films. Nevertheless, the low-k value (k represents dielectric constant) increases as the porosity of the film falls. Adding a slight amount of TPAOH before the reflux process can recover both the porosity and the low k value, while maintaining the high mechanical strength and the low flat band voltage. Results of this study demonstrate that two more steps (the addition of TPAOH and the reflux) in the preparation of the coating solution can increase the film hardness and elastic modulus from 0.8 to 1.4 GPa and from 5.8 to 9.9 GPa respectively, while maintaining the low-k value close to 2.05.  相似文献   

14.
To evaluate potential solutions for reducing the damage to ultra low-k dielectrics during photoresist stripping in advanced interconnect technology, we have investigated the mechanisms of interactions between remote H2, D2 and N2 discharges and porous organo-silicate materials. Extended sub-surface modifications take place in high carbon-content organo-silicates, whereas silica-rich dielectrics show negligible chemical damages during the same treatments. The nature of plasma/dielectric interactions depends primarily on the organic fraction of the ULK material. Methyl groups in silica-rich organo-silicates withstand the interaction with the plasma species. Conversely, large organic compounds in carbon-rich dielectrics experience cleavage reactions leading to volatile hydrocarbon formation and compositional changes. For conditions where stripping-induced damage is introduced, the effects scale with the substrate temperature in the range 200 °C-300 °C. The permeation of the ULK material by remote plasma species depends on its porosity.  相似文献   

15.
《Thin solid films》2006,494(1-2):315-319
The impact of dielectric materials on the reliability of advanced copper (Cu) interconnect is of growing importance. The effect of barrier dielectrics and low-k materials of Inter-Level Dielectric (ILD) on the electromigration (EM) in the line and via-line structure of dual-damascene Cu interconnects was analyzed. The resulting electromigration behavior can then be attributed to Cu barrier layer or low-k dielectrics, depending on the test structure. The SiN barrier layer showed a better electromigration endurance compared to SiC barrier layer for narrow Cu line structure. We had also observed that the carbon-doped oxide (CDO) low-k samples had a higher drift velocity, which results in less electromigration endurance on the via-line structure compared to fluorosilicate glass (FSG).  相似文献   

16.
Low dielectric (low-κ) materials are of key importance for the performance of microchips. In this study, we show that nanosized cowpea mosaic virus (CPMV) particles can be assembled with poly(amic acid) (PAA) in aqueous solutions via the layer-by-layer technique. Then, upon thermal treatment CPMV particles are removed and PAA is converted into polyimide in one step, resulting in a porous low-κ polyimide film. The multilayer self-assembly process was monitored by quartz crystal microbalance and UV-Vis spectroscopy. Imidization and the removal of the CPMV template was confirmed by Fourier transform infrared spectroscopy and atomic force microscopy respectively. The dielectric constant of the nanoporous polyimide film thus prepared was 2.32 compared to 3.40 for the corresponding neat polyimide. This work affords a facile approach to fabrication of low-κ polyimide ultrathin films with tunable thickness and dielectric constant.  相似文献   

17.
Porous silica films with ultra low-k (below 2) and low leakage current densities (10− 8 A/cm2 or lower at an electric field of 1.8 MV/cm) were prepared by the surfactant-template method. Hexamethyldisilazane (HMDS), a surface modification agent, was utilized to yield hydrophobic groups on the surface of porous silica film to prevent the absorption of moisture. It effectively retained the low permittivity properties of the films. Thermal treatment at high temperature (> 350 °C) destroyed surface hydrophobic groups and generated hydrophilic groups (Si-OH), which replaced the surface Si(CH3)3 groups, and resulted in the absorption of moisture. However, Si-OH not only resulted in the absorption of moisture but also initiated the formation of trimethylsilyl groups on the surface by HMDS. When the damaged film is repaired by HMDS again, the k value falls to its initial value (which may be below 1.6). A denser hydrophobic low-k film is formed and the electrical properties are improved.  相似文献   

18.
SiCOH low-k (k = 2.8) film etched in fluorocarbon (CF4 and CHF3) inductively coupled plasmas was characterized in this work. The surface composition and molecular structures of the low-k films after etching in the CF4, CHF3, CF4/Ar, and CHF3/Ar plasmas were characterized. A higher etch rate was observed with the CF4 plasmas than with the CHF3 plasmas. The etch rate of the low-k film in the CF4 plasmas was decreased and the etch rate in the CHF3 plasmas was increased by the Ar addition. After etching the low-k films, a decrease in the dielectric constant of up to 0.19 was observed. The thickness of the fluorocarbon (CFx) layer and CFx (x = 1, 2, 3)-to-carbon ratio obtained from the XPS C 1s peak increased with decreasing etch rate. The k-value was correlated with amount of Si-CH3 and Si-O related groups determined from the Fourier transform infrared (FT-IR) spectrum. The Si-O related peaks were markedly decreased after etching in the CF4 and CF4/Ar plasmas. The lower k-value was attributed to the increase of the Si-CH3/Si-O ratio after etching low-k film.  相似文献   

19.
《Materials Letters》2006,60(13-14):1579-1581
Low-density materials, such as the commercially available hydrogen silsesquioxane (HSQ) offer a low dielectric constant. Thus, HSQ with a low value of k (∼ 2.85) can be spin-coated if the density of Si–H bonding is maintained at a high level and the formation of –OH bonds and absorption of water in the film is minimized. O2 plasma exposure on HSQ film increases leakage current. Also the dielectric constant shows a significant increase after O2 plasma exposure. Another consequence of the O2 plasma exposure is the significant decrease in the contact angle of the HSQ surface, which is not desirable. In this paper, we demonstrate that the surface passivation by hydrogen followed by oxygen plasma treatment of HSQ film for 30 min each leads to a regain of leakage current density and dielectric constant. These results show that the H2 plasma treatment is a promising technique to prevent the damage in the commercially available and highly applicable low-k materials and it also increases the visibility of its use at the 0.1-μm technology. The more hydrophilic nature of the HSQ surface after O2 plasma exposure leads to an increased moisture absorption with a subsequent increase in the dielectric constant.  相似文献   

20.
A set of SiCOH low dielectric constant films (low-k) has been deposited by plasma enhanced chemical vapor deposition using variable flow rates of the porogen (sacrificial phase) and matrix precursors. During the deposition, two different substrate temperatures and radio frequency power settings were applied. Next, the deposited films were cured by the UV assisted annealing (UV-cure) using two industrial UV light sources: a monochromatic UV source with intensity maximum at λ = 172 nm (lamp A) and a broadband UV source with intensity spectrum distributed below 200 nm (lamp B). This set of various low-k films has been additionally exposed to NH3 plasma (used for the CuOx reduction during Cu/low-k integration) in order to evaluate the effect of the film preparation conditions on the plasma damage resistance of low-k material. Results show that the choice of the UV-curing light source has significant impact on the chemical composition of the low-k material and modifies the porogen removal efficiency and subsequently the material porosity. The 172 nm photons from lamp A induce greater changes to most of the evaluated properties, particularly causing undesired removal of SiCH3 groups and their replacement with SiH. The softer broadband radiation from lamp B improves the porogen removal efficiency, leaving less porogen residues detected by spectroscopic ellipsometry in UV range. Furthermore, it was found that the degree of bulk hydrophilization (plasma damage) after NH3 plasma exposure is driven mainly by the film porosity.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号