首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
D.Y. Kim 《Thin solid films》2008,516(11):3512-3516
Under certain conditions during ITO etching using CH4/H2/Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity.  相似文献   

2.
B.S. Kwon 《Thin solid films》2010,518(22):6451-6454
Highly selective etching of a SiO2 layer using a chemical vapor deposited (CVD) amorphous carbon (a-C) mask pattern was investigated in a dual-frequency superimposed capacitively coupled plasma etcher. The following process parameters of the C4F8/CH2F2/O2/Ar plasmas were varied: the CH2F2/(CH2F2 + O2) flow ratio (Q(CH2F2)), the high frequency power (PHF), and the low frequency power (PLF). It was found a process window exists to obtain infinitely high etch selectivity of the SiO2 layer to the CVD a-C. The process parameters of Q(CH2F2), PHF, and PLF played critical roles in determining the process window for oxide/CVD a-C etch selectivity, presumably due to the disproportionate degree of polymerization on the SiO2 and CVD a-C surfaces.  相似文献   

3.
In this research, we investigated the TaN etch rate and selectivity with under layer (HfO2) and mask material (SiO2) in inductively coupled CH4/Ar plasma. As the CH4 content increased from 0% to 80% in CH4/Ar plasma, the TaN etch rate was increased from 11.9 to 22.8 nm/min. From optical emission spectroscopy (OES), the intensities for CH [431 nm] and H [434 nm] were increased with the increasing CH4 content from 0% to 100% in CH4/Ar plasma. The results of x-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) showed no accumulation of etch by-products from the etched surface of TaN thin film. As a result of OES, AES and XPS analysis, we observed the etch by-products from the surfaces, such as Ta-N-CH and N-CH bonds. Based on the experimental results, the TaN etch was dominated by the chemical etching with the assistance of Ar sputtering in reactive ion etching mechanism.  相似文献   

4.
Jong-Chang Woo 《Thin solid films》2010,518(10):2905-2909
The etching characteristics of zinc oxide (ZnO) including the etch rate and the selectivity of ZnO in a BCl3/Ar plasma were investigated. It was found that the ZnO etch rate showed a non-monotonic behavior with an increasing BCl3 fraction in the BCl3/Ar plasma, along with the RF power, and gas pressure. At a BCl3 (80%)/Ar (20%) gas mixture, the maximum ZnO etch rate of 50.3 nm/min and the maximum etch selectivity of 0.75 for ZnO/Si were obtained. Plasma diagnostics done with a quadrupole mass spectrometer delivered the data on the ionic species composition in plasma. Due to the relatively high volatility of the by-products formed during the etching by the BCl3/Ar plasma, ion bombardment in addition to physical sputtering was required to obtain the high ZnO etch rates. The chemical state of the etched surfaces was investigated with X-ray Photoelectron Spectroscopy (XPS). Inferred from this data, it was suggested that the ZnO etch mechanism was due to ion enhanced chemical etching.  相似文献   

5.
In this study, we compared the line edge roughnesses (LER) and profile angles of chemical vapor deposited (CVD) amorphous carbon (a-C) patterns etched in an inductively coupled plasma (ICP) etcher produced by varying process parameters such as the N2 gas flow ratio, Q (N2), and dc self-bias voltage (Vdc) in O2/N2/Ar and H2/N2/Ar plasmas. The tendencies of the LER and profile angle values of the etched CVD a-C pattern were similar in both plasmas. The LER was smaller in the O2/N2/Ar than in the H2/N2/Ar plasmas, and the profile angle was larger in the O2/N2/Ar than in the H2/N2/Ar plasmas under the same processes conditions. The use of O2/N2/Ar plasma was more advantageous than the H2/N2/Ar plasma for controlling LER and profile angle.  相似文献   

6.
N2 and NO gas addition to F2/Ar remote plasmas during chemical dry etching (CDE) of low-k SiOCH layer was effective in increasing the etch rate, but the addition of O2 decreased the etch rate. And, the injection of NO gas directly into the reactor increased the SiOCH etch rate most significantly. The addition of N2 or NO gas contributes to an effective removal of oxygen in the SiOCH layer, by forming NO2 and HNO3 by-products, and of carbon species in the SiOCH layer by forming CF4 by-product, which leads to enhancement of SiF4 formation and in turn increase in the SiOCH etch rate.  相似文献   

7.
We investigated the etch characteristics and mechanisms of Ga-doped ZnO (Ga-ZnO) thin films in HBr/X (X = Ar, He, N2, O2) inductively-coupled plasmas. The etch rates of Ga-ZnO thin films were measured as a function of the additive gas fraction in the range of 0-100% for Ar, He, N2, and O2 at a fixed gas pressure (6 mTorr), input power (700 W), bias power (200 W), and total gas flow rate (40 sccm). The plasma chemistry was analyzed using a combination of the global (zero-dimensional) plasma model and Langmuir probe diagnostics. By comparing the behavior of the etch rate and fluxes of plasma active species, we found that the Ga-ZnO etch process was not limited by ion-surface interaction kinetics and appeared in the reaction rate-limited etch regime. In the HBr/O2 plasma, the etch kinetics were probably influenced by oxidation of the etched surface.  相似文献   

8.
The effects of CH2F2 and N2 gas flow rates on the etch selectivity of silicon nitride (Si3N4) layers to extreme ultra-violet (EUV) resist and the variation of the line edge roughness (LER) of the EUV resist and Si3N4 pattern were investigated during etching of a Si3N4/EUV resist structure in dual-frequency superimposed CH2F2/N2/Ar capacitive coupled plasmas (DFS-CCP). The flow rates of CH2F2 and N2 gases played a critical role in determining the process window for ultra-high etch selectivity of Si3N4/EUV resist due to disproportionate changes in the degree of polymerization on the Si3N4 and EUV resist surfaces. Increasing the CH2F2 flow rate resulted in a smaller steady state CHxFy thickness on the Si3N4 and, in turn, enhanced the Si3N4 etch rate due to enhanced SiF4 formation, while a CHxFy layer was deposited on the EUV resist surface protecting the resist under certain N2 flow conditions. The LER values of the etched resist tended to increase at higher CH2F2 flow rates compared to the lower CH2F2 flow rates that resulted from the increased degree of polymerization.  相似文献   

9.
《Vacuum》2012,86(1):1-6
In this research, we investigated the TaN etch rate and selectivity with under layer (HfO2) and mask material (SiO2) in inductively coupled CH4/Ar plasma. As the CH4 content increased from 0% to 80% in CH4/Ar plasma, the TaN etch rate was increased from 11.9 to 22.8 nm/min. From optical emission spectroscopy (OES), the intensities for CH [431 nm] and H [434 nm] were increased with the increasing CH4 content from 0% to 100% in CH4/Ar plasma. The results of x-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) showed no accumulation of etch by-products from the etched surface of TaN thin film. As a result of OES, AES and XPS analysis, we observed the etch by-products from the surfaces, such as Ta–N–CH and N–CH bonds. Based on the experimental results, the TaN etch was dominated by the chemical etching with the assistance of Ar sputtering in reactive ion etching mechanism.  相似文献   

10.
Plasma etch damage to sputtered indium-zinc-oxide (IZO) layers in the form of changes in the film stoichiometry was investigated using Auger Electron Spectroscopy (AES). While damage resulting from pure chemical etching processes is usually constrained to the surface vicinity, ion-assisted chemical etching of IZO in Ar/CH4/H2 plasmas produces a Zn-rich layer, whose thickness (∼ 50 nm) is well-above the expected stopping range of Ar ions in IZO (∼ 1.5 nm). Based on AES depth profiles as a function of plasma exposure time, it is concluded that the observed Zn enrichment and In depletion deep into the IZO film are driven by the implantation of hydrogen atoms.  相似文献   

11.
SiCOH low-k (k = 2.8) film etched in fluorocarbon (CF4 and CHF3) inductively coupled plasmas was characterized in this work. The surface composition and molecular structures of the low-k films after etching in the CF4, CHF3, CF4/Ar, and CHF3/Ar plasmas were characterized. A higher etch rate was observed with the CF4 plasmas than with the CHF3 plasmas. The etch rate of the low-k film in the CF4 plasmas was decreased and the etch rate in the CHF3 plasmas was increased by the Ar addition. After etching the low-k films, a decrease in the dielectric constant of up to 0.19 was observed. The thickness of the fluorocarbon (CFx) layer and CFx (x = 1, 2, 3)-to-carbon ratio obtained from the XPS C 1s peak increased with decreasing etch rate. The k-value was correlated with amount of Si-CH3 and Si-O related groups determined from the Fourier transform infrared (FT-IR) spectrum. The Si-O related peaks were markedly decreased after etching in the CF4 and CF4/Ar plasmas. The lower k-value was attributed to the increase of the Si-CH3/Si-O ratio after etching low-k film.  相似文献   

12.
ZnO nano-particles have been synthesized in low pressure flow reactors utilizing Zn(CH3)2 as precursor. Two different synthesis routes have been employed. A low pressure flame reactor and a microwave reactor were used for synthesis of ZnO particle in Zn(CH3)2 doped H2/O2/Ar flames and Zn(CH3)2 doped Ar/O2 plasmas, respectively. The particle formation process has been investigated in situ by a particle mass spectrometer. Also, sampled powders have been investigated ex situ by means of FT-IR, XRD, TEM, and UV-VIS. For both synthesis routes nanometer sized ZnO particles were found with particle diameters in the range between 4 to 8 nm. In cases of the flame reactor the results suggest a strong influence of water on the particle formation process.  相似文献   

13.
In this study, thin films of Ag deposited onto glass substrates were etched using inductively coupled fluorine-based plasmas. The effects of various process conditions on the Ag etch characteristics were evaluated to ascertain whether it would be possible to etch patterned Ag films with high etch rates and smooth sidewalls free of involatile etch products. It was found that involatile etch products remained on the substrate when films were etched in CF4-based gas mixtures possessing either O2 or N2 as an additive. However, when Ar was added to either NF3 or CF4, a residue-free etch was obtained provided the partial pressure of Ar was no less than 50%. It is proposed that the residue-free Ag etch mechanism involves the formation of silver fluoride, which is physically sputtered by Ar+ ions. A Ag etch rate of 160 nm/min with a Ag to photoresist etch selectivity exceeding 1.1 was achieved with an inductive power of 1500 W, a d.c. bias voltage of −180 V and a chamber pressure of 0.8 Pa with 50-50 CF4/Ar partial pressures obtained with 60 sccm CF4/60 sccm Ar flows. In addition, these conditions produced smooth Ag sidewall etch profiles.  相似文献   

14.
We investigated the N2 additive effect on the etch rates of TiN and SiO2 and etch profile of TiN in N2/Cl2/Ar adaptively coupled plasma (ACP). The mixing ratio of Cl2 and Ar was fixed at 75 and 25 sccm, respectively. The N2 flow rate was increased from 0 to 9 sccm under the constant pressure of 10 mTorr. As N2 flow rate was increased in N2/Cl2/Ar plasma, the etch rate of TiN was linearly increased, but that of SiO2 was increased non-monotonically. The etch profile and the compositional changes of TiN was investigated with field emission-scanning electron microscope (FE-SEM), FE-Auger electron spectroscopy (FE-AES) and x-ray photoelectron spectroscopy (XPS). When 9 sccm N2 was added into Cl2/Ar, a steep etch profile and clean surface of TiN was obtained. In addition, the signals of TiN and Ti were disappeared in FE-AES and XPS when N2 additive flow into Cl2/Ar was above 6 sccm. From the experimental data, the increase in TiN etch rate was mainly caused by the increase of desorption and evacuation rate of etch by products because of the increased effective pumping speed. The etch mechanism of TiN in N2/Cl2/Ar ACP plasma can be concluded as the ion enhanced chemical etch.  相似文献   

15.
Etching characteristics and the mechanism of HfO2 thin films in Cl2/Ar inductively-coupled plasma were investigated. The etch rate of HfO2 was measured as a function of the Cl2/Ar mixing ratio in the range of 0 to 100% Ar at a fixed gas pressure (6 mTorr), input power (700 W), and bias power (300 W). We found that an increase in the Ar mixing ratio resulted in a monotonic decrease in the HfO2 etch rate in the range of 10.3 to 0.7 nm/min while the etch rate of the photoresist increased from 152.1 to 375.0 nm/min for 0 to 100% Ar. To examine the etching mechanism of HfO2 films, we combined plasma diagnostics using Langmuir probes and quadrupole mass spectrometry with global (zero-dimensional) plasma modeling. We found that the HfO2 etching process was not controlled by ion-surface interaction kinetics and formally corresponds to the reaction rate-limited etch regime.  相似文献   

16.
La3S4 is known to crystallize in a defect cubic Th3P4 structure. The effect of alkali metal ion doping in the lacunar La3−xS4 structure has been studied. Compounds with molecular formula La3−xAxS4 (A = Li, Na and K) with varying A/La ratios (0.1, 0.15, 0.2, 0.25 and 0.3) have been synthesized by gas-solid reaction method. The band gap increases with increase in dopant concentration. The optical properties show an increase in yellowness of the doped compositions when compared to the parent phase.  相似文献   

17.
In this work, we investigated the etching characteristics of TiO2 thin films and the selectivity of TiO2 to SiO2 in a BCl3/Ar inductively coupled plasma (ICP) system. The maximum etch rate of 84.68 nm/min was obtained for TiO2 thin films at a gas mixture ratio of BCl3/Ar (25:75%). In addition, etch rates were measured as a function of etching parameters, such as the RF power, DC-bias voltage and process pressure. Using the X-ray photoelectron spectroscopy analysis the accumulation of chemical reaction on the etched surface was investigated. Based on these data, the ion-assisted physical sputtering was proposed as the main etch mechanism for the BCl3-containing plasmas.  相似文献   

18.
This paper reports the controlled growth of atomically sharp In2O3/ZnO and In2O3/Li‐doped ZnO (In2O3/Li‐ZnO) heterojunctions via spin‐coating at 200 °C and assesses their application in n‐channel thin‐film transistors (TFTs). It is shown that addition of Li in ZnO leads to n‐type doping and allows for the accurate tuning of its Fermi energy. In the case of In2O3/ZnO heterojunctions, presence of the n‐doped ZnO layer results in an increased amount of electrons being transferred from its conduction band minimum to that of In2O3 over the interface, in a process similar to modulation doping. Electrical characterization reveals the profound impact of the presence of the n‐doped ZnO layer on the charge transport properties of the isotype In2O3/Li‐ZnO heterojunctions as well as on the operating characteristics of the resulting TFTs. By judicious optimization of the In2O3/Li‐ZnO interface microstructure, and Li concentration, significant enhancement in both the electron mobility and TFT bias stability is demonstrated.  相似文献   

19.
A.M Efremov 《Vacuum》2004,75(4):321-329
The effect of the CF4/Ar mixing ratio on the etching behaviour and mechanisms for Pb(Zr,Ti)O3 (PZT) thin films in an inductively coupled plasma was carried out. It was found that an increase of Ar mixing ratio causes non-monotonic behaviour of the PZT etch rate, which reaches a maximum of 2.38 nm/s at 80% Ar. Investigating the plasma parameters, we found a weak sensitivity of both electron temperature and electron density to the change of CF4/Ar mixing ratio. A combination of zero-dimensional plasma model with the model of surface kinetics shows the possibility of a non-monotonic etch rate behaviour due to the concurrence of physical and chemical pathways in the ion-assisted chemical reaction.  相似文献   

20.
Etch characteristics of CoFeB magnetic thin films patterned with TiN hard masks were investigated using inductively coupled plasma reactive ion etching in H2O/Ar and H2O/CH4 gas mixes. As the H2O concentration in the H2O/Ar gas increased, the etch rates of CoFeB and TiN films decreased simultaneously, while the etch selectivity increased and etch profiles improved slightly without any redeposition. The addition of CH4 to the H2O gas resulted in an increase in etch selectivity and a higher degree of anisotropy in the etch profile. X-ray photoelectron spectroscopy was performed to understand the etch mechanism in H2O/CH4 plasma. A good pattern transfer of CoFeB films masked with TiN films was successfully achieved using the H2O/CH4 gas mix.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号