首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
Black SiC formation by plasma etching with SF6/O2 chemistry is reported. Black SiC was produced by depositing Si overlayer on SiC and then etching the Si/SiC stack sequentially, thus replicating the black Si morphology to SiC. Black SiC is obtained with almost zero reflectance over the wavelengths from 300 nm to 1050 nm. Thicker Si film was advantageous, and it was important to optimize the etch condition considering both the black Si morphology and the flattening effect of SiC.  相似文献   

2.
An ultra-fast removal process of a silicon sacrificial layer for the selective release of a metal structure on a Si substrate was studied, which uses a chemical dry etching method. The chemical dry etching of a Si layer was performed in an NF3 remote plasma with the direct injection of additive nitric oxide (NO) gas. When the NO gas was injected into the chamber into which F radicals were supplied from a remote plasma source using NF3 input gas, the silicon layer was removed selectively and the metal structure could be released easily. It was found that the etch rate on the sidewall (up to ≅ 18.7 μm/min for an opening width of 100 μm) and the bottom (up to ≅ 24.5 μm/min for an opening width of 100 μm) depends on the NO/(NO + Ar) gas flow ratio, time duration, and opening width. The developed dry etching process could be used to release a Ni structure with near infinite selectivity in a very short time. The process is well suited for fabricating various devices which require a suspended structure, such as in radio-frequency microelectromechanical system switches, tunable capacitors, high-Q suspended inductors and suspended-gate metal-oxide semiconductor field-effect transistors.  相似文献   

3.
Formation mechanisms for profile anomalies such as surface roughness and residue have been investigated numerically and experimentally for Si etching in Cl2/O2 plasmas. The numerical simulation employed an atomic-scale cellular model (ASCeM) based on the Monte Carlo algorithm, which reproduced the feature profile evolution experimentally observed during etching at increased O2 concentrations. A comparison between simulation and experiment indicated that the local surface oxidation induces surface roughness at the bottom of the feature during etching; then, synergistic effects between surface oxidation and ion scattering in microstructural features on roughened surfaces increase the surface roughness, which in turn causes a number of significant residues or micropillars on bottom surfaces of the feature. In practice, in roughened microstructural features, geometrical shadowing effects for neutral oxygen suppress the surface oxidation at the local feature bottom, where the energetic ion incidence is increased owing to ion scattering on sidewalls of the local feature.  相似文献   

4.
The field of laser-induced synthesis, deposition and etching of materials is reviewed with an emphasis on the emerging trends and novel adaptations of the basic laser processing concepts. A number of examples are cited to illustrate the issues involved. These include rapid synthesis of titanium nitride by pulsed laser induced reactive quenching at Ti:liquid NH3 interface, laser deposition of good quality thin films of such materials as hot oxide superconductors, zinc ferrite, iron oxide, stainless steel, etc. and laser etching of superconductor films.  相似文献   

5.
A method to predict etching results by analyzing plasma emission spectra during in-situ cleaning was investigated, where the plasma emission spectra indicate the surface condition of etching reactor walls. Plasma-wall interaction was evaluated by using both principal component regression of plasma emission spectra and attenuated-total-reflection Fourier-transform infrared spectroscopy. We found that differences in the amount of silicon oxide deposition on the reactor wall affected radical composition in the plasma during in-situ cleaning and consequently affected the etching results. Etching result predictions using the plasma spectra corresponded very well to the etching result measurements, which are used to improve etching stability.  相似文献   

6.
The deposition rates of plasma-polymerized (pp-)films of 12 acrylate derivatives (CH2CHCOOR: substitution R is H, CH3, CHCH2, CH2CH3, CH2CH2CH3, (CH2)3CH3, C(CH3)3, CH2CH(CH3)2, CH2(CH2)4CH3, CH2CF3, CH2CF2CF3, CH2(CF2)2CF3), and 12 methacrylate derivatives (CH2CCH3COOR′: substitution R′ is H, CH3, CHCH2, CH2CH3, CH2CH2CH3, (CH2)3CH3, C(CH3)3, CH2CH(CH3)2, CH2(CH2)4CH3, CH2CF3, CH2CF2CF3, CH2(CF2)2CF3) are determined by the quartz crystal microbalance technique. Using the same polymerization conditions (100 W RF and 100 Pa vapor pressure) for the various monomers, it is found that the deposition rates were proportional to the polymerization time. The average deposition rate of pp-acrylate derivatives is larger than that of pp-methacrylate derivatives without pp-hexylacrylate. The average deposition rate of pp-fluoroalkylacrylates was higher than 7-25 times that of pp-alkylacrylate, and the average deposition rate of pp-fluoroalkylmethacrylates was higher than 10-31 times that of pp-alkylmethacrylates, respectively. The average deposition rate of pp-film depends on the chemical structure of the monomer suggesting different mechanisms under plasma-polymerization.  相似文献   

7.
Byungwhan Kim  Junggi Bae 《Vacuum》2006,81(3):338-343
Silicon oxynitride films were etched in a C2F6 inductively coupled plasma. In all experiments, microtrenching occurred at the feet of the profile sidewall. The microtrenching was characterized in terms of maximum depth and width. Each characteristic was examined as a function of the process parameters, including radiofrequency source power, bias power, pressure, and C2F6 flow rate. Apart from the etch mechanisms, relationships between microtrenching and profile angle were also identified. Profile angle variation played an important role in understanding depth variation. The width of microtrenching increased with increasing the source or bias power. In contrast, increasing the C2F6 flow rate decreased the width. Effect of process parameters on microtrenching at various plasma conditions was characterized by using a statistical experimental design. Smaller depths and widths were obtained at lower source and bias powers. The main effect analysis revealed that the bias power had a considerable impact on both characteristics.  相似文献   

8.
Blanket and selective Ge growth on Si is investigated using reduced pressure chemical vapor deposition. To reduce the threading dislocation density (TDD) at low thickness, Ge deposition with cyclic annealing followed by HCl etching is performed. In the case of blanket Ge deposition, a TDD of 1.3 × 106 cm− 2 is obtained, when the Ge layer is etched back from 4.5 μm thickness to 1.8 μm. The TDD is not increased relative to the situation before etching. The root mean square of roughness of the 1.8 μm thick Ge is about 0.46 nm, which is of the same level as before HCl etching. Further etching shows increased surface roughness caused by non-uniform strain distribution near the interface due to misfit dislocations and threading dislocations. The TDD also becomes higher because the etchfront of Ge reaches areas with high dislocation density near the interface. In the case of selective Ge growth, a slightly lower TDD is observed in smaller windows caused by a weak pattern size dependence on Ge thickness. A significant decrease of TDD of selectively grown Ge is also observed by increasing the Ge thickness. An about 10 times lower TDD at the same Ge thickness is demonstrated by applying a combination of deposition and etching processes during selective Ge growth.  相似文献   

9.
Suppression of 193-nm photoresist deformation by H2 addition to fluorocarbon plasmas in via-hole etching is investigated for sub-65-nm-node dual-damascene patterning. Photoresist deformation causes profile distortion and results in degradation of reliability, such as the line-to-line time dependent dielectric breakdown. To prevent profile distortion, H2 addition to fluorocarbon plasma is investigated in terms of fluorocarbon polymer and photoresist modification. XPS, FT-IR, and highlight etching investigations reveal that the H2 plasma treatment extracts oxygen from the photoresist and modifies it. This modification suppresses the photoresist deformation and H2 addition to fluorocarbon plasmas can have the same effects as the H2 plasma treatment. Finally, a highly reliable damascene interconnection is successfully achieved.  相似文献   

10.
A structure zone diagram including plasma-based deposition and ion etching   总被引:1,自引:0,他引:1  
André Anders 《Thin solid films》2010,518(15):4087-376
An extended structure zone diagram is proposed that includes energetic deposition, characterized by a large flux of ions typical for deposition by filtered cathodic arcs and high power impulse magnetron sputtering. The axes are comprised of a generalized homologous temperature, the normalized kinetic energy flux, and the net film thickness, which can be negative due to ion etching. It is stressed that the number of primary physical parameters affecting growth by far exceeds the number of available axes in such a diagram and therefore it can only provide an approximate and simplified illustration of the growth condition-structure relationships.  相似文献   

11.
Characteristics of amorphous silicon (a-Si) etching using atmospheric pressure plasma discharge had been studied. Dielectric barrier discharge (DBD) plasma with nitrogen gas was employed for the study. The active chemical agent for etching was generated by mixing a small quantity of sulfur hexafluoride (SF6) gas into the plasma. The two distinguishable plasma zones are generated with the specially designed DBD plasma generator. The one is the main discharge zone generated between the two parallel plate electrodes. And the other one is downstream plasma zone extracted from the main discharge zone through the holes perforated on the bottom electrode. A test specimen was etched located at the plasma zone and moved the zone several times for etching on a temperature controlled stage. The etch rate of a-Si and the selectivity to silicon nitride (SiNx) were improved by addition of hydrogen (H2) or methane (CH4) gas into the plasma. However, when the specimen temperature was lower than 100 °C with H2 or CH4 gas added plasma condition, a-Si layer was not etched at all, but in the range of 100-140 °C of specimen temperature, the a-Si layer started to be etched while the influence of the specimen temperature on etching of a-Si was ignorable in that temperature range. At the optimized condition, the a-Si etch rate was up to 3000 A/min in the downstream plasma zone with the 3 mm of the distance between the surface of the specimen and the bottom side of the DBD plasma generator module. And the etch rate ratio between a-Si and SiNx was more than 100:1.  相似文献   

12.
Etching characteristics of high-k dielectric materials (HfO2) and metal electrode materials (Pt, TaN) have been studied in high-density chlorine-containing plasmas at pressures around 10 mTorr. The etching of HfO2 was performed in BCl3 without rf biasing, giving an etch rate of about 5 nm/min with a high selectivity of >10 over Si and SiO2. The etching of Pt and TaN was performed in Ar/O2 with high rf biasing and in Ar/Cl2 with low rf biasing, respectively, giving a Pt etch rate of about several tens nm/min and a TaN etch rate of about 200 nm/min with a high selectivity of >8 over HfO2 and SiO2. The etched profiles were outwardly tapered for Pt, owing to the redeposition of etch or sputter products on feature sidewalls, while the TaN profiles were almost anisotropic, probably owing to the ion-enhanced etching that occurred.  相似文献   

13.
Atsushi Suzuki  Hidehiko Nonaka 《Vacuum》2010,84(12):1389-1392
The dissociation ratio of source gas molecules is measured using a quartz sensor, for which the output depends on the average molecular weight and viscosity of gases. The change in the pressure and temperature-normalized quartz sensor output (NQO) by discharge correlates with changes of the signal intensity of the source gas of ammonia (NH3), as measured by gas analysis using a quadrupole mass spectrometer. Thereby, the dissociation ratio is obtainable from the change in NQO by the discharge. The spatial distributions of the change in NQO in the plasma chamber show a larger change in NQO near the plasma electrode, indicating that the change in NQO correlates with the dissociation ratio of NH3. Finally, the dissociation ratios of NH3 near and between the plasma electrodes were obtained from the spatial distribution of the dissociation ratio of NH3. Results show that the Q-sensor measurement is simple and useful to derive the dissociation ratio of the source gases for the plasmas of reactive gases.  相似文献   

14.
A novel and reproducible method to fabricate submicron-gap electrodes using thermal oxidation has been presented. In this method, oxidation process determines the gap distance. The micron-level silicon electrode gaps with different shapes were first generated on the silicon wafer by conventional photolithography followed by deep reactive ion etching process. Then thermal oxidation was conducted to realize the transition from silicon to silicon dioxide, i.e. reduce the gap width. Finally, the planar electrodes with sub-micron spacing were formed by metallization and photolithography. Scanning electron microscopy (SEM) was used to examine the electrode configuration and the electrical properties of as-prepared electrode pairs were also characterized. The results showed that using the method investigated in this work, Au electrodes with a submicron-sized gap could be easily fabricated, with good uniformity and reproducibility.  相似文献   

15.
Plasma-surface interactions in Cl- and Br-based plasmas have been studied for advanced front-end-of-line (FEOL) etching processes in nanoscale ULSI device fabrication. A Monte Carlo-based atomic-scale cellular model (ASCeM) was developed to simulate the feature profile evolution on nanometer scale during Si etching in Cl2 and Cl2/O2 plasmas, including surface oxidation, inhibitor deposition, and ion reflection and penetration on surfaces. A classical molecular dynamics (MD) simulation for Si/Br and Si/HBr as well as Si/Cl systems was also developed, along with an improved Stillinger-Weber interatomic potential model for Si/halogen interactions, to clarify surface reaction kinetics on atomic scale during Si etching in Cl2 and HBr plasmas. The numerical results revealed the origin of profile or surface anomalies such as microtrench, roughness, and residue, and also etching fundamentals such as etch yield, product stoichiometry, and atomistic surface structures. Moreover, the etching of high-k dielectric and metal electrode materials, such as HfO2 and TaN, was investigated in BCl3- and Cl2-containing plasmas with and without rf biasing, to gain an understanding of the etch mechanisms and to achieve anisotropic and selective etching of metal/high-k gate stacks.  相似文献   

16.
Various MEMS devices like Accelerometers, Resonators, RF- Filters, Micropumps, Microvalves, Microdispensers and Microthrusters are produced by removing the bulk of the substrate materials. Fabrications of such Microsystems requires the ability to engineer precise three-dimensional structures in the silicon substrate. Fabrication of MEMS faces multiple technological challenges before it can become a commercially viable technology. One key fabrication process required is the deep silicon etching for forming high aspect ratio structures. There is an increasing interest in the use of dry plasma etching for this application because of its anisotropic etching behavior, high etch speed, good uniformity and profile control, high aspect ratio capabilities without having any undesired secondary effects i.e. RIE lags, Loading, microloading, loosing of anisotropic nature of etching as aspect ratio increases, micro-grass and even etch stalling. Developing a DRIE micro-machining process requires a thorough understanding of all plasma parameters, which can affect a silicon etching process and their use to suppress the secondary effects. In this paper our intention is to investigate the influence of etching gas flow, etching gas pressure, passivation gas pressure, ICP coil power, Platen power and etch and passivation time sequence on etch rate and side wall profile. Parameter ramping is a powerful technique used to achieve the requirements of high aspect ratio microstructures (HARMS) for MEMS applications by having high etch rate with good profile/CD control. The results presented here can be used to rationally vary processing parameters in order to meet the microstructural requirements for a particular application.  相似文献   

17.
Dual comb-type electrodes were developed as a plasma source in very high frequency (VHF) plasma enhanced chemical vapor deposition system for uniform deposition of silicon films. Two VHF powers introduced to each electrode produced parallel plasma bands, and their positions could be changed by manipulating the phase difference between the supplied VHF waves. Excitation frequency was 80 MHz. The maximum plasma density using this plasma source was 1.5 × 1010/cm3 and the electron temperature was around 2 eV with input power of 2.5 kW, which were measured by double tip Langmuir probe. The uniformity of deposition rate under ± 13% was achieved on 1 m2 area with optimal plasma conditions.  相似文献   

18.
The improvement of photodegradation of a-Si:H has been studied on the basis of controlling the subsurface reaction and gaseous phase reaction. We found that higher deposition temperature, hydrogen dilution and triode method are effective to reduce the SiH2 density in the film and to suppress the photodegradation of solar cells. These results are explained in terms of the hydrogen elimination reaction in the subsurface region and the contribution of the higher silane radicals to the film growth. The high-rate deposition of μc-Si:H was obtained by means of a high-pressure method and further improvement in deposition rate and the film quality was achieved in combination with the locally high-density plasma, which enables effective dissociation of source gases without thermal damage. It was also found that the deposition pressure is crucial to improve the film quality for device. This technique was successfully applied to the solar cells and an efficiency of 7.9% was obtained at a deposition rate of 3.1 nm/s. The potential application of nanocrystalline silicon is also discussed.  相似文献   

19.
Homoepitaxial films having properties identical to films deposited on the conventional wet-cleaned substrates have been achieved even in the absence of any substrate pre-treatment through the mesoplasma CVD. X-ray photoelectron spectroscopy reveals that the native oxide layer is effectively removed by exposure of the bare silicon substrates to the Ar-H2 plasma at exposure times as short as 2 s and temperatures less than 100 °C. Although an exposure to the Ar-H2 plasma is accompanied by an anisotropic island formation resulting in an increase in rms roughness (~ 5 nm) of the surface, addition of as little as 2 sccm SiH4 into the plasma reduces the roughness greatly. The absence of Si-Hx peaks in the FTIR spectrum and uniform concentration distribution of H and O atoms across the growth interface observed by SIMS analysis indicate that minimal damage was induced in the silicon film by the hydrogen while attaining high yield of surface cleaning. These suggest that surface interaction with Ar-H2 plasma at the mesoplasma condition supportively facilitate lateral growth at low temperature in the way of instantaneous surface cleaning and anisotropic Si etching structure favorable for incorporation of the atoms comprising the Si nanoclusters as growth precursors.  相似文献   

20.
Amorphous SiO2 films have been deposited onto the Si substrate, without heating, using sputtering-type electron cyclotron resonance (ECR) microwave plasma. In situ Fourier transform P-polarized infrared reflection absorption spectroscopy (ISFT-PIRRAS) has been used to study the properties of a-SiO2/Si interface. The results from ISFT-PIRRAS monitoring indicated that the interface stress led to significant distortion in the local structure, which resulted in the broadening of a transverse optical mode (TO3) located at 1050 cm−1. The interface stress decreased with increased film thickness. In addition, the longitudinal optical phonon mode (LO3, located at 1223 cm−1) related to TO3 mode was observed due to Berreman effect [B. Harbecke, Appl. Phys. A: Solids Surf. 38 (1985) 263]. This phonon mode is very sensitive to SiO2 film thickness, which enables it to be used to detect and characterize ultra thin SiO2 film. When the film thickness is over 30 nm, a non-linear dependence of the intensity of LO3 mode on film thickness was observed. However, the TO3 mode has a near linear dependence on film thickness. Thus, it is more accurate and suitable to detect thick film by monitoring TO3 mode intensity.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号