首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
数字移相技术的分析和实现   总被引:2,自引:0,他引:2  
两个同频信号之间的移相,是电力行业继电保护领域中模拟、分析事故的一个重要手段,利用移相原理可以制作校验各种有关相位的仪器仪表、继电保护装置的信号源。因此,移相技术有着广泛的实用价值。本文介绍两种基于单片机的数字移相方法,借以说明实现移相的原理,并对中两种移相方法进行性能分析和比较。  相似文献   

2.
两个同频信号之间的移相,是电力行业继电保护领域中模拟、分析事故的一个重要手段,利用移相 原理可以制作校验各种有关相位的仪器仪表、继电保护装置的信号源。因此,移相技术有着广泛的 实用价值。本文介绍两种基于单片机的数字移相方法,借以说明实现移相的原理,并对两种移相方 法进行性能分析和比较。  相似文献   

3.
冯长泳 《今日电子》2011,(11):54-58
移相器简介 两个同频信号,特别是工频信号之间的移相,在电力行业的继电保护领域中是一个模拟、分析事故的重要手段。传统的移相方式都是通过三相供电用特殊变压器抽头,以跨相的方法进行移相,可统称为电工式移相。  相似文献   

4.
移相是对输出波形相位的一种调整关系,其在通信、仪器仪表、甚至在导弹、雷达技术中广泛应用。同时两个同频率信号之间的移相,是电子行业继电保护领域中模拟、分析事故中的一个重要手段。本文从数学分析和EWB软件仿真两个方面入手,来讨论由RC组成的90o到-90o之间的移相电路。数学分析是从理论上讨论其实现的可能性,而软件仿真能够直观观察实验结果,利于提高结果的分析。  相似文献   

5.
从理论和实践两个方面较为系统地论述了基于旋转变压器的移相编码原理及移相网络的公式推导,移相编码器的误差分析,可靠性分析以及调试方法等。  相似文献   

6.
本文提出了一种欺骗PD雷达的移频新方法。根据PD雷达的信号处理原理,采用逐个脉冲移相,最终得到多变勒假目标的移频。并给出了两种干扰体制下的逐个脉冲移相的方法。  相似文献   

7.
移相术中相移算法的窗函数整数近似方法分析   总被引:1,自引:1,他引:0  
用离散傅里叶分析的方法将相移过程描述为频谱域滤波的过程,阐明了相移算法的窗函数整数近似法原理.由于相移的有限性会带来频谱泄露的问题,提出了好的移相算法窗函数应该满足主瓣窄、旁瓣小的观点,并给出了根据窗函数整数近似方法设计任意移相间距和任意移相步数移相算法的流程.选择矩形窗、三角窗、hanning窗和blackman窗生成4种11步移相算法,对振动误差和相移误差的分析验证了旁瓣小的harming窗和blaclonan窗生成的算法对误差的灵敏度要小;冉选择harming窗生成了移相间距为π/2的5,11,15,39,51,76和101步7种移相算法,仿真验证了步数越多主瓣越小,对振动抑制能力越好,但需要更高的微位移器移动精度来获得有效的干涉条纹.在满足干涉条纹质量的前提下,步数多的移相算法对移相误差的抑制能力越好.最后模拟实验环境,验证了算法的性能.  相似文献   

8.
宽频带360度电控移相器   总被引:1,自引:0,他引:1  
本文在分析和归纳各种现有移相器的优缺点的基础上,提出了一种新型的矢量合成移相器,它具有“全范围”移相、输出幅度恒定、与频率无关及移相速度快等主要优点.本文分析了其原理和性能,并用实验初步证明了该移相器的可行性.  相似文献   

9.
要实现对基带信号的所有频率分量均移相-π/2,有两种方法.一种方法是设计一个宽频带移相器,使它的输出对输入而言,有一个固定的-π/2相位差.另一种方法是移相器的一条支路对输入信号偏移相位θ,另一条支路对输入信号偏移相位θ-π/2.后一种方法比前一种方法在工程上要容易实现.本文旨在阐明这种移相器的工作原理,并设计能实现-π/2移相功能的线路.  相似文献   

10.
一种改进的射频相位校准方法   总被引:1,自引:0,他引:1  
陈瑛 《电讯技术》2007,47(4):190-193
根据微差变频移相原理,提出了一种射频相位校准的新方法.该新方法采用固定电长度,微小改变信号频率实现移相的新途径对射频相位进行校准.理论分析和实测结果证明,此新方法能提高射频相位的校准准确度,在实际工作中有一定的实用性.  相似文献   

11.
基于SPWPM的移相全桥高频链逆变器研究   总被引:1,自引:0,他引:1  
分析了一种单极性移相全桥桥式高频链逆变器的主电路拓扑和工作原理.阐述了正弦脉宽脉位调制(SPWPM)技术的实现原理,对移相SPWPM软开关的原理和特点进行了讨论,给出了利用TMS320F240 DSP芯片产生SPWPM控制信号的方法。最后通过仿真和实验验证了SPWPM技术的正确性和可行性。  相似文献   

12.
在分析四相相对移相QDPSK信号调制解调原理的基础上,提出了一种码元判别方法,通过原理设计、VHDL语言编程、Modelsim仿真和FGPA编程实现,完成了QDPSK数字调制解调器的电路设计,并通过下载试验,得到了良好的调制解调效果。  相似文献   

13.
王斌  李晋 《电讯技术》2008,48(1):106-108
介绍了一种应用DSP控制实现行波管高压电源的设计。通过应用TMS320F2812实现移相软开关技术和功率因素校正(PFC)技术,降低了电源的开关损耗和整体尺寸。分析了控制原理,并且说明了基于DSP的移相控制方法。  相似文献   

14.
本文介绍了八塔定向中波天线偏向发射原理,给出了实现偏向发射的移相电路的元件值计算公式.分析了不同移相网络对移相度数和匹配阻抗变换的影响.对八塔定向中波天线系统中移向网络的选型和调试具有指导意义.  相似文献   

15.
高精度数控微波移相器设计   总被引:1,自引:0,他引:1       下载免费PDF全文
使用DDS级联数字移相器和PLL技术相结合的方法,实现了一种新型的微波信号移相器,可针对单音微波信号进行精确数字控制移相,最高移相分辨率远超过常规DDS移相器,达到了2π×2-24rad.介绍了系统设计方案及电路工作原理,并给出了实验结果.此电路适用于需要精确控制两路或多路正弦信号相对相位移的应用场合,经过电路扩展,还可用于带限信号的精确移相.  相似文献   

16.
介绍了多通道接收组件的典型原理框图,给出了通道隔离度、耦合度、移相精度和移相寄生调幅的概念,使用相量法推导了通道耦合度对移相精度和移相寄生调幅影响的计算公式,指出理论上隔离度对移相指标的影响与频率无关。利用软件仿真了通道隔离度对移相精度和移相寄生调幅的影响,得到了与公式计算相同的数值,两者互相得到了验证。提出了改善通道隔离度的方法,设计加工了一种Ka波段的四通道接收组件,改善了通道隔离度,具有较好的移相精度和移相寄生调幅指标。  相似文献   

17.
本文利用数学分析软件Mathematica,以RC移相式振荡电路案例分析为例,详细推导该电路的起振条件、平衡条件及稳定条件.该内容可作为RC移相式振荡电路工作原理推导的有效补充.该方法解决了“通信电子线路”教学过程中复杂的手工数学推导出现的问题,加深了学生对电子线路工作原理的理解,可培养学生使用计算机解决复杂问题的能力.  相似文献   

18.
提出了一种用于测量微观表面三维形貌的宽带光八步移相算法.该算法通过定位宽带光干涉条纹的零相位差位置实现微观轮廓的测量.计算宽带光移相干涉信号中相邻采样点的相位差得到实际移相间隔,从而实现实际移相量的在线标定以及移相误差的校正.分析了倾斜SiC平面的移相干涉条纹,计算结果的标准均方差为1.646 nm,与不存在移相误差时的计算结果吻合.宽带光八步移相算法对干涉包络的变化不敏感,能够抑制移相误差,是一种实用、高精度的微观表面轮廓测量方法.  相似文献   

19.
江军  许云  刘嵘侃 《微电子学》2004,34(2):171-174
基于移相式全桥ZVS-PWM控制的原理和特点,对移相谐振控制IC的内部电路进行了分析。研制了一种新型的移相谐振开关控制器,它具有0~100%的占空比控制和1MHz的工作开关频率。  相似文献   

20.
简单介绍了级联型高压变频器的结构及载波移相SPWM控制的工作原理,仿真分析了不同幅值调制比、级联数和移相方式对输出的影响。载波移相SPWM是一种较成熟的高压变频器的控制方式,但是该方法在实现过程中对硬件电路的要求比较高,需占用较多的资源进行计算,本文提出了三角载波幅度调制与正弦调制波幅度调制等效原理,对传统的载波移相技术做了改进,改进后的调制方式在硬件电路中可以大大减小微处理器的计算负担。文章对该方式进行了仿真,验证了其稳定性与可行性。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号