首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
High-k insulators for the next generation (sub-32 nm CMOS (complementary metal-oxide-semiconductor) technology), such as titanium-aluminum oxynitride (TAON) and titanium-aluminum oxide (TAO), have been obtained by Ti/Al e-beam evaporation, with additional electron cyclotron resonance (ECR) plasma oxynitridation and oxidation on Si substrates, respectively. Physical thickness values between 5.7 and 6.3 nm were determined by ellipsometry. These films were used as gate insulators in MOS capacitors fabricated with Al electrodes, and they were used to obtain capacitance-voltage (C-V) measurements. A relative dielectric constant of 3.9 was adopted to extract the equivalent oxide thickness (EOT) of films from C-V curves under strong accumulation condition, resulting in values between 1.5 and 1.1 nm, and effective charge densities of about 1011 cm−2. Because of these results, nMOSFETs with Al gate electrode and TAON gate dielectric were fabricated and characterized by current-voltage (I-V) curves. From these nMOSFETs electrical characteristics, a sub-threshold slope of 80 mV/dec and an EOT of 0.87 nm were obtained. These results indicate that the obtained TAON film is a suitable gate insulator for the next generation (MOS) devices.  相似文献   

2.
Electrical properties and thermal stability of LaHfOx nano-laminate films deposited on Si substrates by atomic layer deposition (ALD) have been investigated for future high-κ gate dielectric applications. A novel La precursor, tris(N,N′-diisopropylformamidinato) lanthanum [La(iPrfAMD)3], was employed in conjunction with conventional tetrakis-(ethylmethyl)amido Hf (TEMA Hf) and water (H2O). The capacitance-voltage curves of the metal oxide semiconductor capacitors (MOSCAPs) showed negligible hysteresis and frequency dispersion, indicating minimal deterioration of the interface and bulk properties. A systematic shift in the flat-band voltage (Vfb) was observed with respect to the change in structure of nano-laminate stacks as well as La2O3 to HfO2 content in the films. The EOTs obtained were in the range of ∼1.23-1.5 nm with leakage current densities of ∼1.3 × 10−8 A/cm2 to 1.3 × 10−5 A/cm2 at Vfb − 1 V. In addition, the films with a higher content of La2O3 remained amorphous up to 950 °C indicating very good thermal stability, whereas the HfO2 rich films crystallized at lower temperatures.  相似文献   

3.
HfTiO thin films were prepared by r.f. magnetron co-sputtering on Si substrate. To improve the electrical properties, HfTiO thin films were post heated by rapid thermal annealing (RTA) at 400 °C, 500 °C, 600 °C and 700 °C in nitrogen. It was found that the film is amorphous below 700 °C and at 700 °C monoclinic phase HfO2 has occurred. With the increase of the annealing temperature, the film becomes denser and the refractive index increases. By electrical measurements, we found at 500 °C annealed condition, the film has the best electrical property with the largest dielectric constant of 44.0 and the lowest leakage current of 1.81 × 10−7 A/cm2, which mainly corresponds to the improved microstructure of HfTiO thin film. Using the film annealed at 500 °C as the replacement of SiO2 dielectric layer in MOSFET, combining with TiAlN metal electrode, a 10 μm gate-length MOSFET fabricated by three-step photolithography processes. From the transfer (IDSVG) and output (IDSVDS) characteristics, it shows a good transistor performance with a threshold voltage (Vth) of 1.6 V, a maximum drain current (Ids) of 9 × 10−4 A, and a maximum transconductance (Gm) of 2.2 × 10−5 S.  相似文献   

4.
Aluminum nitride (AlN) films were deposited by dc reactive magnetron sputtering on p-Si-(1 0 0) substrate in Ar-N2 gas mixtures. The effects of nitrogen concentration and sputtering power on AlN films deposition rate, crystallographic orientation, refractive index, and surface morphology are investigated by means of several characterization techniques. The results show that AlN films reasonably textured in (0 0 2) orientation with low surface roughness can be obtained with the deposition rate as high as 70 nm/min by the control of either target power or N2 concentration in the gas mixture. Increasing the dc discharge power, Al atoms are not completely nitridized and the Al phases appear, as well as the AlN phases. MIS (Metal-Insulator-Semiconductor) structures were fabricated and electrically evaluated by I-V (current-voltage) and C-V (capacitance-voltage) measurements at high frequency (1 MHz). The results obtained from C-V curves indicate that charges at the dielectric/semiconductor interface occur, and the dielectric constant values (extracted under strong accumulation region) are compatible with those found in literature.  相似文献   

5.
Nanocrystalline titanium dioxide (TiO2) thin films were prepared by the sol-gel method and were then used to fabricate an indium-tin oxide (ITO)/nano-crystalline TiO2/poly(3,4-ethylenedioxythiophene) (PEDOT)/Au device. The junction thus obtained shows a rectifying behavior. Their current-voltage (I-V) characteristics in dark indicate that a heterojunction at the nano-crystalline TiO2/PEDOT interface has been created. The measured open-circuit voltage (Voc) and short-circuit current (Isc) for the device under illumination with 50 mW/cm2 light intensity under AM 1.5 conditions (device dimension was 1 cm2) are Voc=0.39 V, Isc=54.9 μA/cm2, the filling factor (FF)=0.429 and the energy conversion efficiency (η)=0.03%.  相似文献   

6.
We investigated the resistive switching characteristics of Ir/TiOx/TiN structure with 50 nm active area. We successfully formed ultra-thin (4 nm) TiOx active layer using oxidation process of TiN BE, which was confirmed by X-ray Photoelectron Spectroscopy (XPS) depth profiling. Compared to large area device (50 μm), which shows only ohmic behavior, 250 and 50 nm devices show very stable resistive switching characteristics. Due to the formation and rupture of oxygen vacancies induced conductive filament at Ir and TiOx interface, bipolar resistive switching was occurred. We obtained excellent switching endurance up to 106 times with 100 ns pulse and negligible degradation of each resistance state at 85 °C up to 104 s.  相似文献   

7.
TaYOx-based metal-insulator-metal (MIM) capacitors with excellent electrical properties have been fabricated. Ultra-thin TaYOx films in the thickness range of 15-30 nm (EOT ∼ 2.4-4.7 nm) were deposited on Au/SiO2 (100 nm)/Si (100) structures by rf-magnetron co-sputtering of Ta2O5 and Y2O3 targets. TaYOx layers were characterized by X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray (EDX) and X-ray diffraction (XRD) to examine the composition and crystallinity. An atomic percentage of Ta:Y = 58.32:41.67 was confirmed from the EDX analysis while XRD revealed an amorphous phase (up to 500 °C) during rapid thermal annealing. Besides, a high capacitance density of ∼3.7-5.4 fF/μm2 at 10 kHz (εr ∼ 21), a low value of VCC (voltage coefficients of capacitance, α and β) have been achieved. Also, a highly stable temperature coefficient of capacitance, TCC has been obtained. Capacitance degradation phenomena in TaYOx-based MIM capacitors under constant current stressing (CCS at 20 nA) have been studied. It is observed that degradation depends strongly on the dielectric thickness and a dielectric breakdown voltage of 3-5 MV/cm was found for TaYOx films. The maximum energy storage density was estimated to be ∼5.69 J/cm3. Post deposition annealing (PDA) in O2 ambient at 400 °C has been performed and further improvement in device reliability and electrical performances has been achieved.  相似文献   

8.
In the present work, we examine the properties of SiON films grown on Si substrates by CVD in order to investigate their suitability as potential materials in replacing SiO2 in metal-oxide-semiconductor (MOS) devices. Suitable metallization created MOS devices and electrical characterisation took place in order to identify their electrical properties. Electrical measurements included current-voltage (I-V), capacitance-conductance-voltage (C-V) measurements and admittance spectroscopy (Yω) allowing determination of the bulk charges and the dielectric response of the films. The analysis of the data also took into account the presence of traps at the Si/SiON interface calculated by a fast conductance technique. The interface states density was of the order of 1012 eV−1 cm−2. The dielectric constant was found to lie between 16 and 4.5 and the corresponding bulk trapped charges were found between 8 and 113 μCb cm−2. Post deposition annealing altered these values showing an improvement of the device behaviour. A short explanation of the above is also provided.  相似文献   

9.
Normally-off GaN-MOSFETs with Al2O3 gate dielectric have been fabricated and characterized. The Al2O3 layer is deposited by ALD and annealed under various temperatures. The saturation drain current of 330 mA/mm and the maximum transconductance of 32 mS/mm in the saturation region are not significantly modified after annealing. The subthreshold slope and the low-field mobility value are improved from 642 to 347 mV/dec and from 50 to 55 cm2 V−1 s−1, respectively. The ID-VG curve shows hysteresis due to oxide trapped charge in the Al2O3 before annealing. The amount of hysteresis reduces with the increase of annealing temperature up to 750 °C. The Al2O3 layer starts to crystallize at a temperature of 850 °C and its insulating property deteriorates.  相似文献   

10.
In this work light activation phenomenon in inverted bulk heterojunction (BHJ) organic solar cells (OSC) has been electrically modelled with a two-diode equivalent circuit. OSC are based on poly(3-hexylthiophene) (P3HT): 1-(3-methoxycarbonyl)-propyl-1-1-phenyl-(6,6) C61 (PCBM) with a titanium oxide (TiOx) sublayer. Current–voltage (IV) characteristics show a highly pronounced S-shape that is gradually removed during light activation process. The circuit used to model IV curves includes two diodes in forward and reverse bias together with two parallel resistances, RP1 and RP2. The parallel of the reverse bias diode and its corresponding resistance RP2 models the electrical behaviour of the TiOx interlayer. This interlayer has been thermally treated at different temperatures, from 80 °C up to 180 °C, reducing the activation time from 400 s for unbaked devices down to 30 s for devices annealed at temperatures higher than 80 °C. The S-shape shown in the IV characteristic is completely removed after a few minutes of white-light illumination. IV curves recorded during the activation process have been fitted with the analytical solution of the two-diode circuit based on W-Lambert function. A decrease of the subcircuit 2 equivalent resistance has been found to be the cause of S-shape removal. This resistance diminishing is in good agreement with the increase of TiOx conductance with baking temperature and white-light exposure time found by other authors.  相似文献   

11.
The ruthenium oxide metal nanocrystals embedded in high-κ HfO2/Al2O3 dielectric tunneling barriers prepared by atomic layer deposition in the n-Si/SiO2/HfO2/ruthenium oxide (RuOx)/Al2O3/Pt memory capacitors with a small equivalent oxide thickness of 8.6 ± 0.5 nm have been investigated. The RuOx metal nanocrystals in a memory capacitor structure observed by high-resolution transmission electron microscopy show a small average diameter of ∼7 nm with high-density of >1.0 × 1012/cm2 and thickness of ∼3 nm. The ruthenium oxide nanocrystals composed with RuO2 and RuO3 elements are confirmed by X-ray photoelectron spectroscopy. The enhanced memory characteristics such as a large memory window of ΔV ≈ 12.2 V at a sweeping gate voltage of ±10 V and ΔV ≈ 5.2 V at a small sweeping gate voltage of ±5 V, highly uniform and reproducible, a large electron (or hole) storage density of ∼1 × 1013/cm2, low charge loss of <7% (ΔV ≈ 4.2 V) after 1 × 104 s of retention time are observed due to the formation of RuOx nanocrystals after the annealing treatment and design of the memory structure. The charge storage in the RuOx nanocrystals under a small voltage operation (∼5 V) is due to the modified Fowler-Nordheim tunneling mechanism. This memory structure can be useful for future nanoscale nonvolatile memory device applications.  相似文献   

12.
The impact of high permittivity gate dielectrics with different equivalent oxide thickness (EOT) for conventional, low and high tilt angle halo implants on the performance of 100 nm n-MOSFETs device is studied using device simulator Synopsys ISE-TCAD. In this paper, we systematically increase the value of gate dielectric (3.9-50) and investigate its effects on conventional, low angle of tilt (10o) and high angle of tilt (50o) halo implants for different device parameters of 100 nm n-MOSFETs using two different EOT viz. 1.5 nm and 2.0 nm. The impact of gate dielectric permittivity along with the different angles of halo implants on short channel performance contributing to the DIBL, the subthreshold swing, ION/IOFF ratio, and the threshold voltage VT are studied for two different EOT thicknesses. The device has been investigated for digital performance parameters like the variation of substrate-body voltage on DIBL, IOFF, ION and the threshold voltage VT for sub 100 nm technology generation. It has also been investigated for analog performance like trans-conductance generation factor (gm/ID) and overall gain (gmR0).  相似文献   

13.
In this work, the thermal annealing effect on the metal gate effective work function (EWF) modulation for the Al/TiN/SiO2/p-Si(1 0 0) structure was investigated. Compared with the sample of TiN/SiO2/p-Si(1 0 0) structure, for the sample additionally capped with Al the flat band voltage has a very obvious shift as large as 0.54 V to the negative direction after forming gas annealing. It is also revealed that the thermal budget can effectively influence both the EWF of the gate electrode and the thickness of the gate dielectric layer when a post annealing at 600 °C with different soak times was applied to the samples with Al cap. Material characterization indicates that the diffusion of Al and the formation of Al oxide during annealing should be responsible for all the phenomena. The interface trap density Dit calculated from the high-frequency C-V and the laser-assisted high-frequency C-V curves show that the introduction of Al does not cause reliability problem in the Al/TiN/SiO2/p-Si structure.  相似文献   

14.
In this study, high-pressure oxygen (O2 and O2 + UV light) technologies were employed to effectively improve the properties of low-temperature-deposited metal oxide dielectric films and interfacial layer. In this work, 13 nm HfO2 thin films were deposited by sputtering method at room temperature. Then, the oxygen treatments with a high-pressure of 1500 psi at 150 °C were performed to replace the conventional high temperature annealing. According to the XPS analyses, integration area of the absorption peaks of O-Hf and O-Hf-Si bonding energies apparently raise and the quantity of oxygen in deposited thin films also increases from XPS measurement. In addition, the leakage current density of standard HfO2 film after O2 and O2 + UV light treatments can be improved from 3.12 × 10−6 A/cm2 to 6.27 × 10−7 and 1.3 × 10−8 A/cm2 at |Vg| = 3 V. The proposed low-temperature and high pressure O2 or O2 + UV light treatment for improving high-k dielectric films is applicable for the future flexible electronics.  相似文献   

15.
In this article, the conduction mechanisms of metal-oxide-semiconductor with vacuum annealed Lanthana (La2O3) oxide film are investigated. Lanthana films with thicknesses of 3.5, 4.7, and 11 nm were deposited by E-beam evaporation on n-Si (100), and annealed at various temperatures (300-500 °C) in ultra-high vacuum (10−10-10−9 Torr) for 90 min. From the measurement of spectroscopic ellipsometry, it is found that film thickness is increased with annealing temperature, which would be cause of flat-band voltage shift (ΔVFB) due to the growth of interfacial layer. From the capacitance measurement, it is found that ΔVFB of the film is reduced by post-deposition anneal (PDA) compared to that of as-deposited film, but increase again at high temperature annealing, especially in the case of thin film (3.5 nm). From the applied voltage and temperature dependence of the leakage current of the film, with different gate electrode materials (Ag, Al, and Pt), it is shown that the leakage currents are associated with ohmic and Poole-Frenkel (P-F) conductions when flat-band voltage (VFB) is less than zero, and ohmic and Space-Charge-Limited Current (SCLC) conductions when VFB is greater than zero. The dielectric constants obtained from P-F conduction for Al gate electrode case is found to be 11.6, which is consistent with the C-V result 11.9. Barrier height of trap potential well is found to be 0.24 eV from P-F conduction. Based on SCLC theory, leakage currents of 3.5 and 11 nm films with different PDA temperatures are explained in terms of oxide trap density.  相似文献   

16.
TiO2 thin films were deposited using Sol-Gel spin coating technique using titanium isoperoxide as the Titania precursor. The films were characterized using X-ray diffraction, capacitance voltage measurement and Raman characterization technique. The XRD and Raman spectra indicate the presence of anatase TiO2 phase in the film. The grain size as calculated using the Scherrer’s formula was found to be 30, 66 and 59 nm for TiO2(0 0 4), TiO2(2 0 0) and TiO2(2 1 1), respectively. The grain size was found to increase after annealing at 800 °C. The dielectric constant as calculated using capacitance voltage measurement was found to be 25. The refractive index of the film was 2.34.  相似文献   

17.
In this work, the high-k material of gadolinium oxide layer (Gd2O3) and zirconium oxide layer (ZrO2) thin films were fabricated as the gate dielectric insulator materials in GaAs metal-oxide-semiconductor high electron mobility transistors (MOSHEMTs). The dielectric constant of Gd2O3 and ZrO2 oxide layers were estimated to be 10.6 and 7.3 by the MOS-ring capacitor of C-V measurements. In addition, the thermal stability of the devices have been investigated and compared with the high-k material Gd2O3 and ZrO2 thin films for reliability tests. The Gd2O3 MOSHEMTs achieved a better thermally stable characteristic duo to its similar lattice structure with GaAs native oxide layer. At high temperature operation, the VBR degradation slope was 1.2 × 10−3 V/°C and the maximum Ids degradation slope was 1.4 × 10−2 mA (%)/°C. According to this, the device also showed a good reliability characteristic within 48 h. Based on measurement results, the Gd2O3 MOSHEMTs exhibited the best electrical characteristics, including the lowest gate leakage current, the lowest noise spectra density, and the high power performance. Therefore, the Gd2O3 MOSHEMTs is suitable for high power amplifier and monolithic microwave integrated circuits (MMICs) applications.  相似文献   

18.
For the first time, we present a comparative study on HfLaSiON and HfLaON gate dielectric with an equivalent oxide thickness (EOT) of 0.8 nm (Tinv = 1.2 nm). A detailed DC analysis of Ion vs. Ioff shows HfLaON performs somewhat better than HfLaSiON. However, positive bias temperature instability (PBTI) lifetime of HfLaSiON is higher than HfLaON by about 2 orders of magnitude. On the other hand, hot carrier stress lifetime for HfLaSiON was similar to that of HfLaON. From the activation energy and U-trap, we found that the cause of different threshold voltage (VT) shifts under PBT stress and detrapping was originated from stable electron traps induced by different charge trapping rates.  相似文献   

19.
Highly oriented 1D-microwires of a diketopyrrolopyrrole (DPP) based semiconductor i.e. DPP(CBZ)2 (Carbazole capped DPP) were synthesized, characterized and applied to the fabrication of organic optoelectronic devices. 1D-microwires of DPP(CBZ)2 were prepared by solution processing on capillary tubes serving to pin solution. A bottom-gate, top-contact field-effect transistor employing 1D-microwire and polymeric gate dielectric showed a hole mobility of 1.24 × 10−2 cm2/V s, an on-to-off drain current ratio (Ion/Ioff) of 4.7 × 103 and subthreshold slopes of 4 V/dec under ambient conditions. Under white light, a photosensitivity of 800 at VG = −40 V and photoresponsivity of 830 mW/A were achieved. This work demonstrates the potential of this new molecule and the solution method for use in various opto-electronic devices such transistors, photosensors and photovoltaics.  相似文献   

20.
AlGaN/GaN metal–insulator–semiconductor high electron mobility transistors (MIS-HEMTs) using a radio-frequency magnetron sputtered ZrZnO transparent oxide layer as a gate insulator are investigated and compared with traditional GaN HEMTs. A negligible hysteresis voltage shift in the CV curves is seen, from 0.09 V to 0.36 V, as the thickness of ZrZnO films increases. The composition of ZrZnO at different annealing temperatures is observed using X-ray photoelectron spectroscopy (XPS). The ZrZnO thin film achieves good thermal stability after 600 °C, 700 °C and 800 °C post-deposition annealing (PDA) because of its high binding energy. Based on the interface trap density analysis, Dit has a value of 2.663 × 1012 cm−2/eV for 10-nm-thick ZrZnO-gate HEMTs and demonstrates better interlayer characteristics, which results in a better slopes for the Ids degradation (5.75 × 10−1 mA/mm K−1) for operation from 77 K to 300 K. The 10-nm-thick ZrZnO-gate device also exhibits a flat and a stable 1/f noise, as VGSVth, and at various operating temperatures. Therefore, ZrZnO has good potential for use as the transparent film for a gate insulator that improves the GaN-based FET threshold voltage and improves the number of surface defects at various operating temperatures.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号