首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
Tantalum pentoxide thin films on Si prepared by two conventional for modern microelectronics methods (RF sputtering of Ta in Ar + O2 mixture and thermal oxidation of tantalum layer on Si) have been investigated with respect to their dielectric, structural and electric properties. It has been found that the formation of ultra thin SiO2 film at the interface with Si, during fabrication implementing the methods used, is unavoidable as both, X-ray photoelectron spectroscopy and electrical measurements, have indicated. The initial films (as-deposited and as-grown) are not perfect and contain suboxides of tantalum and silicon which act as electrical active centers in the form of oxide charges and interface states. Conditions which guarantee obtaining high quality tantalum oxide with dielectric constant of 32–37 and leakage current density less than 10−7 A/cm2 at 1.5 V applied voltage (Ta2O5 thickness equivalent to about 3.5 nm of SiO2) have been established. These specifications make the layers obtained suitable alternative to SiO2 for high density DRAM application.  相似文献   

2.
Deposition and electrical properties of high dielectric constant (high-k) ultrathin ZrO2 films on tensilely strained silicon (strained-Si) substrate are reported. ZrO2 thin films have been deposited using a microwave plasma enhanced chemical vapor deposition technique at a low temperature (150 °C). Metal insulator semiconductor (MIS) structures are used for high frequency capacitance–voltage (CV), current–voltage (IV), and conductance–voltage (GV) characterization. Using MIS capacitor structures, the reliability and the leakage current characteristics have been studied both at room and high temperature. Schottky conduction mechanism is found to dominate the current conduction at a high temperature. Observed good electrical and reliability properties suggest the suitability of deposited ZrO2 thin films as an alternative as gate dielectrics. Compatibility of ZrO2 as a gate dielectric on strained-Si is shown.  相似文献   

3.
AlGaN/GaN metal-oxide-semiconductor (MOS) capacitor structures using atomic layer deposited high-dielectric-constant (High-k) Al2O3/La2O3 bilayer films as dielectric have been investigated using high-frequency capacitance-voltage measurement. The stable thickness and uniform surface morphology of the bilayer films with different La/Al deposition cycle ratio (La/Al ratio) were observed after rapid thermal annealing by spectroscopic ellipsometry and atomic force microscopy, respectively. We have found that with a decrease of the La/Al ratio, the dipole layer observed by X-ray photoelectron spectroscopy at Al2O3/La2O3 interfaces is close to the surface of semiconductor and the flat band voltage shifts to the negative direction. Furthermore, the dramatic drop in dielectric constant of the films as La/Al ratio decrease was caused by the formation of La(OH)3 in La2O3. Finally, the reason for the flat band voltage shifts, which is based on the dielectric constant of Al2O3 and La2O3 comprising the position of dipole layer in the dielectric films, is proposed.  相似文献   

4.
High surface area Si/Al2O3/ZnO:Al capacitors were formed in electrochemically etched porous silicon. The Al2O3 dielectric and the ZnO:Al top electrode were deposited by atomic layer deposition in high aspect ratio porous Si. A single capacitor with a typical area of about 1 mm2 consisted of about 105 pores. Effective capacitance densities were between 2.0 and 2.5 μF/cm2, i.e., approximately 30 times higher than for a planar capacitor prepared under identical conditions, illustrating the effect of the enhanced surface area in the porous structure.  相似文献   

5.
TaCN films were deposited using atomic layer deposition (ALD) using PDMAT and H2/Ar plasma. Calculations based on density functional theory (DFT) indicate a high energy barrier and a low reaction energy for reducing the +5 Ta oxidation state in the PDMAT precursor by using pure H radicals. Through the assistance of Ar radicals, low resistivity of TaCN films of 230 μΩ cm could be deposited by using H2/Ar plasma. By employing in situ X-ray diffraction during annealing, the activation energy for Cu diffusion through the TaCN barrier was evaluated at 1.6 eV.  相似文献   

6.
The optical and barrier properties of thin-film encapsulations (TFEs) for top-emitting organic light-emitting diodes (TEOLEDs) were investigated using TFEs fabricated by stacking multiple sets of inorganic–organic layers. The inorganic moisture barrier layers were prepared by atomic layer deposition (ALD) of Al2O3 using trimethylaluminum (TMA) and O3 as precursors and are shown to be efficient barriers against gases and vapors. The organic alucone layers were produced by molecular layer deposition (MLD) using TMA and ethylene glycol as precursors. The [Al2O3:Alucone] ALD/MLD films were used because their adjustable inorganic–organic nanolaminate composition allows for the tuning of the optical properties, thereby enhancing their application potential for the design and fabrication of high performance light out-coupling structures for TEOLEDs. By carefully adjusting the relative thickness ratio of the inorganic–organic encapsulation materials, optimized light extraction was achieved and the films not only maintained their high moisture barrier strength but also showed excellent optical performance.  相似文献   

7.
原子层沉积(ALD)方法可以制备出高质量薄膜,被认为是可应用于柔性有机电致发光器件(OLED)最有发展前景的薄膜封装技术之一。本文采用原子层沉积(ALD)技术,在低温(80℃)下,研究了Al2O3及TiO2薄膜的生长规律,通过钙膜水汽透过率(WVTR)、薄膜接触角测试等手段,研究了不同堆叠结构的多层Al2O3/TiO2复合封装薄膜的水汽阻隔特性,其中5 nm/5 nm×8 dyads(重复堆叠次数)的Al2O3/TiO2叠层结构薄膜的WVTR达到2.1×10-5 g/m2/day。采用优化后的Al2O3/TiO2叠层结构薄膜对OLED器件进行封装,实验发现封装后的OLED器件在高温高湿条件下展现了较好的寿命特性。  相似文献   

8.
Carbon free TaNx films were deposited by plasma enhanced atomic layer deposition (PEALD) using a combination of pentakis(dimethylamino)Ta (PDMAT) and either N2 or NH3 plasma. Good linearity and saturation behavior were observed for the TaNx films grown with NH3 plasma while non-ideal saturation features were observed for the films grown with N2 plasma. The thermal stability of the TaNx films could be improved by reducing the pressure of the reactants and by increasing the plasma exposure time. The TaNx films deposited using N2 plasma exhibit better diffusion barrier properties than the films deposited using NH3 plasma.  相似文献   

9.
为了研究石墨烯与高k介质的结合,使用原子层沉积氧化铝在石墨衬底上。沉积前使用电子束辐照,观测到了氧化铝明显改善的形貌。归因于电子束辐照过程中的石墨层的无定形变化过程。  相似文献   

10.
Capacitors with ultra-thin (6.0-12.0 nm) CVD Ta2O5 film were fabricated on lightly doped Si substrates and their leakage current (Ig-Vg) and capacitance (C-V) characteristics were studied. For the first time, samples with stack equivalent oxide thickness around 2.0 nm were compared with ultra-thin silicon dioxide and silicon oxynitride. The Ta2O5 samples showed remarkably lower leakage current, which not only verified the advantages of ultra-thin Ta2O5 as dielectrics for high density DRAM's, but also suggested the possibility of its application as the gate dielectric material in MOSFET's  相似文献   

11.
The electrical characteristics of low-temperature-processing Al2O3 films were studied. With an anodization SiO2 film as a buffer layer, Al2O3 dielectric was grown on it by oxidizing an ultra-thin aluminum film in nitric acid, followed by a surface DAC-ANO compensation. The significant development is, when the Al2O3 film fabrication of this experiment was repeated, which means one more same Al2O3 layer deposition, the sample demonstrated satisfactory electrical properties.  相似文献   

12.
Interfacial chemical analyses and electrical characterization of in situ atomic layer deposited (ALD) Al2O3 on freshly molecular beam epitaxy (MBE) grown n- and p- GaAs (001) with a (4 × 6) surface reconstruction are performed. The capacitance-voltage (C-V) characteristics of as-deposited and 550 °C N2 annealed samples are correlated with their corresponding X-ray photoelectron spectroscopy (XPS) interfacial analyses. The chemical bonding for the as-deposited ALD-Al2O3/n- and p-GaAs interface is similar, consisting of Ga2O (Ga1+) and As-As bonding (As0) without any detectable arsenic oxides or Ga2O3; the interfacial chemical environments remained unchanged after 550 °C N2 annealing for 1hr. Both as-deposited and annealed p-GaAs metal-oxide-semiconductor capacitors (MOSCAPs) exhibit C-V characteristics with small frequency dispersion (<5%). In comparison, n-GaAs MOSCAPs shows much pronounced frequency dispersion than their p-counterparts.  相似文献   

13.
In this paper, we compare the electrical characteristics of MOS capacitors and lateral MOSFETs with oxidized Ta2Si (O-Ta2Si) as a high-k dielectric on silicon carbide or stacked on thermally grown SiO2 on SiC. MOS capacitors are used to determine the dielectric and interfacial properties of these insulators. We demonstrate that stacked SiO2/O-Ta2Si is an attractive solution for passivation of innovative SiC devices. Ta2Si deposition and oxidation is totally compatible with standard SiC MOSFET fabrication materials and processing. We demonstrate correct transistor operation for stacked O-Ta2Si on thin thermally grown SiO2 oxides. However the channel mobility of such high-k MOSFETs must be improved investigating the interface properties further.  相似文献   

14.
We present a synchrotron-based XPS investigation on the interface between InAs and Al2O3 or HfO2 layers, deposited by ALD at different temperatures, for InAs substrates with different surface orientations as well as for InAs nanowires. We reveal the composition of the native Oxide and how the high-κ layer deposition reduces Oxide components. We demonstrate some of the advantages in using synchrotron radiation revealing the variation in Oxide composition as a function of depth into the subsurface region and how we can indentify Oxides even on nanowires covering only a small fraction of the surface.  相似文献   

15.
TiN was grown by atomic layer deposition (ALD) from tetrakis(dimethylamino)titanium (TDMAT). Both thermal and plasma enhanced processes were studied, with N2 and NH3 as reactive gases. Using an optimized thermal ammonia based process, a growth rate of 0.06 nm/cycle and a resistivity of 53 × 103 μΩ cm were achieved. With an optimized plasma enhanced NH3 process, a growth rate of 0.08 nm/cycle and a resistivity of 180 μΩ cm could be obtained. X-ray photo electron spectroscopy (XPS) showed that the difference in resistivity correlates with the purity of the deposited films. The high resistivity of thermal ALD films is caused by oxygen (37%) and carbon (9%) contamination. For the film deposited with optimized plasma conditions, impurity levels below 6% could be achieved. The copper diffusion barrier properties of the TiN films were determined by in-situ X-ray diffraction (XRD) and were found to be as good as or better than those of films deposited with physical vapor deposition (PVD).  相似文献   

16.
The change in the thickness and chemical states of the interfacial layer and the related electrical properties in Ta2O5 films with different annealing temperatures were investigated. The high-resolution transmission electron microscopy and X-ray photoelectron spectroscopy analyses revealed that the 700 °C-annealed Ta2O5 film remained to be amorphous and had the thinnest interfacial layer which was caused by Ta-silicate decomposition to Ta2O5 and SiO2. In addition, the electrical properties were improved after annealing treatments. Our results suggest that an annealing treatment at 700 °C results in the highest capacitance and the lowest leakage current in Ta2O5 films due to the thinnest interfacial layer and non-crystallization.  相似文献   

17.
Wide-bandgap gallium oxide(Ga2O3) is one of the most promising semiconductor materials for solar-blind(200 nm to 280 nm) photodetection. In its amorphous form, amorphous gallium oxide(a-Ga2O3) maintains its intrinsic optoelectronic properties while can be prepared at a low growth temperature, thus it is compatible with Si integrated circuits(ICs) technology. Herein, the a-Ga2O3 film is directly deposited on pre-fabricated Au inter...  相似文献   

18.
We report electrical characteristics of multilayer TiO2–T2O5 based MIS structures obtained by simple electron beam evaporation and annealed in an O2 environment. We describe parameter dependence on annealing conditions and demonstrate an equivalent SiO2 thickness of 3 nm with a leakage current density of 10−7 A/cm2 at an electric field of 106 V/cm.  相似文献   

19.
The conduction mechanisms and the microstructure of rf sputtered Ta2O5 on Si, before and after oxygen annealing at high temperatures (873, 1123 K; 30 min) have been investigated. The as-deposited and annealed at 873 K layers are amorphous whereas crystalline Ta2O5 (orthorhombic β-Ta2O5 phase) was obtained after O2 treatment at 1123 K. The results (electrical, X-ray diffraction, transmission electron microscopy) reveal the formation of an interfacial ultrathin SiO2 layer under all technological regimes used. The higher (493 K) substrate temperature during deposition stimulates the formation of amorphous rather than crystalline SiO2. It is found that the oxygen heating significantly reduces the oxide charge (Qf<1010 cm−2) and improves the breakdown characteristics (the effect is more pronounced for the higher annealing temperature). It is accompanied by an increase of the effective dielectric constant (up to 37 after 1123 K treatment). It is established that the influence of the oxygen treatment on the leakage current is different depending on the film thickness, namely: a beneficial effect for the thinner and a deterioration of leakage characteristics for thicker (80 nm) films. A leakage current density as low as 10−7 A/cm2 at 1 MV/cm applied field for 26 nm annealed layers has been obtained. The current reduction is considered to be due to a removal by annealing of certain structural nonperfections present in the initial layers. Generally, the results are discussed in terms of simultaneous action of two opposite and competing processes taking place at high temperatures––a real annealing of defects and an appearance of a crystal phase and/or a neutral traps generation. The contribution of the neutral traps also is involved to explain the observed weaker charge trapping in the as-fabricated films compared to the annealed ones.The conduction mechanism of the as-deposited films is found to be of Poole–Frenkel (PF) type for a wide range of applied fields. A change of the conduction mechanism for the annealed films at medium fields (0.8–1.3 MV/cm) is established. This transition from PF process to the Schottky emission limited current is explained with an annealing of bulk traps (oxygen vacancies and nonperfect bonds). It is concluded that the dominant conduction mechanism in the intermediate fields can be effectively controlled by appropriate technological steps.  相似文献   

20.
To ensure the required capacitance for low-power DRAMs (dynamic RAMs) beyond 4 Mb, three kinds of capacitor structures are proposed: (a) poly-Si/SiO2/Ta2O5/SiO2 /poly-Si or poly-Si/Si3N4/Ta2O 5/SiO2/poly-Si (SIS), (b) W/Ta2O5 /SiO2/poly-Si (MIS), and (c) W/Ta2O5 W (MIM). The investigation of time-dependent dielectric breakdown and leakage current characteristics indicates that capacitor dielectrics that have equivalent SiO2 thicknesses of 5, 4, and 3 nm can be applied to 3.3-V operated 16-Mb DRAMs having stacked capacitor cells (STCs) by using SIS, MIS, and MIM structures, respectively, and that 3 and 1.5 nm can be applied to 1.5-V operated 64-Mb DRAMs having STCs by using MIS and MIM structures, respectively. This can be accomplished while maintaining a low enough leakage current for favorable refresh characteristics. In addition, all these capacitors show good heat endurance at 950°C for 30 min. Therefore, these capacitors allow the fabrication of low-power high-density DRAMs beyond 4 Mb using conventional fabrication processes at temperatures up to 950°C. Use of the SIS structure confirms the compatability of the fabrication process of a storage capacitor using Ta2O5 film and the conventional DRAM fabrication processes by successful application to the fabrication process of an experimental memory array with 1.5-μm×3.6-μm stacked-capacitor DRAM cells  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号