首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
Porogen residue (sp2 hybridized carbon) formed during UV curing of low-k materials increases leakage current and decreases breakdown voltage of low-k materials. The amount of porogen residue increases with increasing porosity of PECVD low-k films because of larger amount of co-deposited porogen. Electrical characteristics of PECVD ultra low-k films are significantly worse in comparison with CVD and SOG low-k film prepared without porogen. SOG low-k films prepared by self-assembling of nanocrystalline silica demonstrate very low leakage current. Removal of porogen residue significantly improves the electrical characteristics. Therefore, preparation of porogen residue free low-k films is an important challenge of future scaling of low-k materials.  相似文献   

2.
We have investigated the effects of fluoride residue on the thermal stability of a Cu/barrier metal (BM)/porous low-k film (k < 2.3) structure. We confirmed that the Cu agglomerated more on a BM/inter layer dielectric (ILD) with a fluoride residue. To consider the effect of fluoride residue on Cu agglomeration, the structural state at the Cu/BM interface was evaluated with a cross-section transmission electron microscope (TEM) and atomic force microscope (AFM). And the chemical bonding state at the Cu/BM interface was evaluated with the interface peeling-off method and X-ray photoelectron spectroscopy (XPS). Moreover, we confirmed the oxidation of Cu with fluoride in accelerated conditions to clarify the effect of fluoride on Cu. Our experiments suggested that the fluoride residue led to the formation of a metal fluoride, and this accelerated the Cu agglomeration accompanying an increase in Cu oxidation.  相似文献   

3.
For the PMD in a next generation memory device, two kinds of newly developed ultra low-k MSQ materials (k < 2.0) are shown to have good thermal stability, up to 600 °C, while the investigated HSQ (k = 2.9) material degraded at temperatures >500 °C. The thermal stability of the low-k MSQ is correlated with the amount of Si-X (X = H or CH3), the ratio of Si-X to Si-O, and the structure of the Si-O bonds. With PE-SiO2 and PE-SiN capping on HSQ, the k-value of  < 3.0 can be maintained up to 800 °C due to Si-H remaining in the film. Similarly, PE-SiC and PE-SiO2 capping increases the k-value degradation onset temperature of the MSQ materials by 50 °C.  相似文献   

4.
The idea of using low-k materials compared to conventional silica (SiO2) poses a large challenge to the back end processes such as wire bonding. In this study, numerical methods such as finite element method is used to characterize the permissible compressive bonding load at the bond pad. Since micro and nano hardness test conventionally use a conical indenter while the wirebond process experiences a spherical indentation, a comparison is made in terms of the force-indentation depth graphs. Results shows that the conical indenter induces early damage and their prediction of the force can be taken as a conservative measure during the wirebond process design. Further a numerical model can be made to verify for its sufficiency to squash the gold ball for a required dimension and to check for any damage within the device. In addition, the strain contours within the device at different metal layers provides better insight to modify the device’s active circuit layout below bond pad to distribute the forces evenly.  相似文献   

5.
The use of low-k materials is essential for improving the quality of integrated circuits. Subsequent process steps may however modify this film to the extent that the final result is unacceptable. Organosilicate-based low-k films, with a nominal k-value of 2.3, were exposed to different post-CMP cleaning plasmas used for copper reduction. The resulting plasma damage was investigated and is reported in this paper. All the studied plasmas increased the density of the low-k film. TOFSIMS and FTIR analyses showed that they all removed CH3 groups from the bulk, leading to water incorporation. The carbon depletion was more pronounced and deeper (100 nm) from a NH3 plasma than from any other investigated plasma. N2 + H2 plasma removed somewhat less carbon from the low-k film (83 nm deep). The N2 plasma removed carbon down to a depth of 60 nm into the film, while a pure H2 plasma removed the least carbon of all the investigated plasmas, to a depth of only 35 nm. The combination of TOFSIMS and XPS indicated the incorporation of a significant amount of N in the films treated with the pure N2 plasma. C-V measurements showed an increase of the dielectric constant, again mostly for the NH3 plasmas. There was an intermediate and approximately equal increase of the dielectric constant for all N2 containing plasmas, and the least increase was for the H2 plasma. This increase of the dielectric constant was caused by the increase of density of the film, incorporation of water, and in the case of the N2 plasma also the incorporation of N. This shows that the presence of N2 in plasma may significantly damage low-k materials, and it should not therefore be treated as a mere carrier gas.  相似文献   

6.
Low-k dielectrics prepared by CVD in the form of 200 nm thick layers on Si wafers were thermally treated at 410 °C and irradiated using UV lamps emitting photons of different wavelengths around 172 nm, 185 nm, and 222 nm. The treatment was performed in high vacuum and under a nitrogen atmosphere at various pressures ranging from 0.1 mbar up to 700 mbar. Subsequently, the samples were investigated using FTIR transmission spectroscopy, contact angle measurement, X-ray photoelectron spectrometry (XPS), time-of-flight secondary ion mass spectrometry (TOF-SIMS), X-ray reflectometry (XRR), surface acoustic wave spectrometry (SAW), and purged UV spectroscopic ellipsometry (PUVSE). It was found that for all UV wavelengths applied for curing the depth profiles of the chemical composition were homogeneous. For all properties evaluated, irradiation at wavelengths below 200 nm resulted in more pronounced changes than at longer wavelengths. Generally, a decrease in residual porogen content, conversion of the Si-O-Si bonds from cage to network/suboxide, degradation of Si-CH3 bonds, formation of H-SiO bonds, increase in surface energy, changes of element concentrations and of density, increase in Young’s modulus, and changes in dielectric constant were observed. These findings were confirmed by quantum-chemical calculations. With increasing nitrogen pressure the effects were more considerable. An attempt was undertaken to explain the effect of nitrogen pressure in course of the role of nitrogen molecules as collision partners.  相似文献   

7.
Looking onto application of low-k and ultra low-k materials within FEOL, high temperature load is one of the major challenges. But also temperature ranges below standard curing conditions are of special interest, e.g. for integration of transparent low-k materials into optical devices due to their small refractive index. In this work the development of the optical, electrical and structural properties of two spin-on MSQ low-k dielectrics over a low-temperature range has been investigated. Incorporation of porosity due to porogen removal for LK2000 causes a different behaviour of the electrical and optical parameters compared to ACCUGLASS™ within the low-temperature range. Both materials show unstable properties which normalize by getting closer to the standard curing conditions. Hydrophobizity of the surfaces is developing at curing temperatures of 400 °C and higher, what agrees to the lowering of the leakage current density. Optical, electrical and structural parameters fluctuate very sensitive on changing the curing temperature, so usage of those materials within a low-temperature range requires a very stable curing process to achieve reproducible material properties.  相似文献   

8.
The etching damage on nano-clustering silica (NCS) film due to etching radicals was investigated using a method of radical treatments in RIE plasma. NCS coated-side of the wafer was turned downward and put at 0.65 mm above the wafer stage to investigate only the influence of radicals. Etching radicals, which comes from CF4, diffuse into NCS film and reduce Si-CH3 bonds and Si-CH3 loss is proportional to the amount of diffused fluorine in NCS film. Several Si-CH3 bonds are converted to Si-F bonds then. As a result, the low-k performance is degraded and especially the leakage current heavily increases. We proposed a method for estimating the degree of the sidewall damage due to etching radicals using blanket wafers. The degree of sidewall damage is proportional to the value of CR−0.5, where C is the damage diffusion coefficient, which is derived from Si-CH3 decrement ratio from a radical treatment result and R is the etching rate, which is derived from a RIE treatment result under the same plasma condition. The value of CR−0.5 depends on the etching condition and must be decreased as much as possible in order to reduce the sidewall damage during RIE. For example, lower gas pressure, higher RF power, and higher CF4/Ar gas flow ratio were desirable for the sidewall damage reduction.  相似文献   

9.
Thermo-mechanical stresses in copper interconnects - A modeling analysis   总被引:1,自引:0,他引:1  
This study focuses on numerical modeling of thermo-mechanical stresses in copper interconnects. The three-dimensional analyses utilize a two-level metal structure connected by a via. Attention is devoted to the effects of the incorporation of polymer-based low-k dielectric material. Deformation is generated by thermal strain mismatches during cooling from an elevated temperature, as well as from cyclic thermal excursions. The thin barrier layers encasing the copper are also included in the models. Plastic deformation in the metal is taken into consideration in the analysis. The stress and deformation fields are examined in detail. It is found that the incorporation of low-k dielectric in place of traditional oxide-based dielectric significantly reduces the triaxial tensile stresses in copper but enhances plastic deformation, particularly in the via and its vicinity. The generation of shear stresses at the interface regions is also assessed. A parametric analysis is conducted to elucidate the individual influences of the thermal expansion and elastic properties of the dielectric material. Salient features having direct implications in device reliability are highlighted and discussed.  相似文献   

10.
This work proposes an extended model that describes the propagation of damage in porous low-k material exposed to a plasma. Recent work has indicated that recombination and diffusion play a more dominant role than VUV light [1], [2], [3], [4] and [5] in oxygen plasma induced damage. Especially at low depths, the radical concentration is determined by the number of radicals that disappear back into the plasma while the final depth of damage is defined by recombination of oxygen atoms. A logarithmic equation has been proposed to describe the behavior as a function of time. In this work this equation is extended to take diffusion into account, next to recombination. The results are in agreement with experimental data and one-dimensional random walk theory calculations.  相似文献   

11.
We demonstrate a replica-molding method for submicron patterning of a low-index sol-gel nanoporous glass for the purpose of fabricating large-area (∼80 cm2) label-free photonic crystal optical biosensors. Scanning electron micrographs show the sol-gel exhibited minimal shrinkage and good substrate adhesion and depict precise and uniform pattern transfer over the fabricated area within the limits of measurement resolution. A unique characterization approach is described in which the photonic crystal optical resonance is used to accurately and quickly characterize the geometrical and material property uniformity over a large area. Uniformity within 1% was measured over an 80 cm2 area. We suggest that this robust method is an excellent approach for photonic crystal sensor fabrication, and may also find applications in integrated optics and electronics.  相似文献   

12.
The properties of low-k SiCOH film deposited by plasma-enhanced chemical vapor deposition using trimethylsilane are reported here. The deposition process was performed at different temperatures from 200 to 400 °C. The influence of deposition temperature on the films were characterized using Fourier transform infrared spectroscopy (FTIR) to understand its impact on the studied properties. The films were annealed at ∼450 °C in an inert ambient after deposition in all the cases. The deposition rate decreases with increase in deposition temperature. The refractive index of the films increases as a function of deposition temperature. From FTIR spectra, OH-related bonds were not detected in films even when deposited at 200 °C. The Si-CH3 bonds were detected in all the films and decreased monotonically from 200 to 400 °C. All deposition conditions studied resulted in films with dielectric constant less than 3, the lowest being ∼2.7 when deposited at 200 °C. All films exhibited good thermal stability.  相似文献   

13.
We have investigated the characteristics of Ar/O2 plasmas in terms of the photoresist (PR) and low-k material etching using a ferrite-core inductively coupled plasma (ICP) etcher. We found that the O2/(O2+ Ar) gas flow ratio significantly affected the PR etching rate and the PR to low-k material etch selectivity. Fourier transform infrared spectroscopy (FTIR) and HF dipping test indicated that the etching damage to the low-k material decreased with decreasing O2/(O2 + Ar) gas flow ratio.  相似文献   

14.
In this study, we have prepared surfactant templated mesoporous silica thin films as the ultralow-k dielectrics and a TaNX thin film deposited by plasma enhanced atomic layer chemical vapor deposition (PE-ALCVD) using TaCl5 as the gas precursor was used as the diffusion barrier. Without any surface modification for the dielectric layer, Ta atoms could easily diffuse into the mesoporous layer seriously degrading dielectric properties. O2 and Ar plasmas have been used to modify the surface of the mesoporous dielectric in a high density plasma chemical vapor deposition (HDP-CVD) system, and both of the treatments produced a densified oxide layer a few nanometer thick. According to transmission electron microscopy and Auger electron spectroscopy, the pore sealing treatment could effectively prevent Ta atoms from diffusing into the mesoporous dielectric during the PE-ALCVD process.  相似文献   

15.
A 60-μm bond-pad-pitch wire-bonding process was developed using test dies with a SiO2 dielectric layer under aluminium pads, and was then fine-tuned for a low-k device using three types of gold wires with different mechanical properties. Bulk material hardness of the wires were characterised using a wire-bonding machine, the force applied and diameters of squashed free-air balls. It was found that stiffer wires needed higher ultrasonic-generator (USG) power than a softer wire to deform the ball after impact and achieve equivalent ball size and ball shear responses. Longer bond time was also needed for the low-k material than the SiO2 material, to overcome the energy loss due to the compliance of the low-k material. Pad damage on the low-k device was proportional to bulk material hardness. The soft 4N (99.99% purity) wire required lower USG power to achieve the bonding specification, and was the most suitable wire to be used in wire bonding of the low-k device.  相似文献   

16.
We review test vehicles and methods that are commonly used for capacitance measurements of low-k films and the general procedure for k-value extractions. We demonstrate that a considerable loss of accuracy may occur if metal-insulator-semiconductor (MIS) planar capacitors are used in high frequency (HF) capacitance-voltage (CV) measurements leading to significant underestimation of the k-value. We show that the lack of accuracy is due to parasitic impedance at the backside connection with the Si substrate and we provide a model. The effect of the parasitic impedance can be minimized by reducing the area of the gate electrode. Alternatively, samples can be provided with an ohmic back contact by means of one of the practical fabrication methods that are described. Quasi-static (Q-S) CV measurements did not exhibit any variation related to backside connection. However, we show that Q-S CV measurements loose accuracy for plasma-damaged low-k films because of increased dielectric leakage. Finally, issues related to capacitance measurements in dry atmosphere are addressed. We show that long (∼hours) transients can take place for plasma-damaged low-k films because of the slow release of water from the material underneath the metal gate, which acts as a cap. As a consequence, extracted k-value can significantly depend on sample resident time in the measurement chamber and on gate dimensions.  相似文献   

17.
Aurora®ELK films were fabricated by PE-CVD of a SiCOH matrix precursor and an organic porogen material. The porogen material is removed during a subsequent thermally assisted UV-cure step with a short wavelength UV-lamp (λ < 200 nm). This results in film thickness shrinkage of 13.2% and a robust low-k film with k-value ∼ 2.3, elastic modulus ∼5.0 GPa and intrinsic film stress ∼59 MPa. The microscopic film properties during UV-cure were evaluated by FT-IR. A decrease in the CHx peak area is related to the porogen removal from the film resulting in a reduced dielectric constant. The decrease of the Si-CH3 peak and increase in the SiO network area are associated to the network restructuring and increase in elastic modulus. The nature of the Si-H peaks which appear during UV-cure has to be investigated carefully to determine their impact on film reliability. The dielectric diffusion barrier can work as an UV absorption layer which reduces UV-curing of underlying layers and possible UV reflections on interfaces. The SiCN/A-SiCO diffusion barrier film properties during UV-cure show a decrease in k-value, increase in intrinsic film stress and a slight increase in leakage. More research is needed to evaluate the impact of porogen removal by UV-cure on BEOL integration.  相似文献   

18.
An in situ study of self-forming barriers from a Cu-Mn alloy was performed to investigate the barrier growth using X-ray diffraction on damascene lines. The associated evolution in interconnect texture and Cu stress was also observed. The shift in Cu diffraction peak position was used to determine the change in Mn concentration and hence, estimate the thickness of the MnSixOy barrier. The observed peak shift followed a log(t) behaviour and is described well by metal oxidation kinetics, following the field enhanced diffusion model. We used multiple anneal temperatures to study the activation of the formation process, demonstrating a faster barrier formation with higher ion excitation. A strong [1 1 1] Cu texture was shown to develop during the anneal in contrast to traditional PVD barrier systems. Finally, the stress in the 100 nm Cu lines was calculated, observing a large in-plane relaxation when using a self-forming barrier due to reduced confinement.  相似文献   

19.
HSG-7000 by Hitachi Chemicals Ltd., is a spin-on low-k dielectric offering a dielectric constant of approximately 2.2. It is a silsesquioxane based low-k dielectric with an empirical formula of [CH3-SiO3/2]n. The standard thermal curing for HSG 7000 is at least 30 min at 400 °C with N2 ambient. This paper aims to demonstrate that curing using a low-cost hot plate in atmospheric ambient is possible. The chemical bonding structure will be studied using Raman spectroscopy. The ratios of the areas of the Si-O-Si /Si-CH3 of the Raman bands were used to determine the structure of the different hot plate curing temperatures and time. Results showed that hot plate curing at 425° for 15 min will yield a ratio closest to those cured with the standard furnace process which is predominantly ladder structure. The results also show that the dielectric constant remains essentially constant with different hot plate curing temperatures and time.  相似文献   

20.
For 45 nm and beyond microelectronics technology nodes, the integration of porous low dielectric constant (low-k) materials is now required to reach integrated dielectric constant values lower than 2.7. However, porous low-k materials have lower mechanical strength in comparison with traditional dense materials and are also affected by chemical diffusion through the interconnected porosity during the various integration processes. Different types of plasma post-treatments which lead to surface modification of the porous low-k material with possible formation of a top surface layer, change of surface structure and “pore sealing” effect were applied. Highly sensitive instruments for mechanical investigation of thin layers, such as the Ultra Nano Hardness Tester (UNHT) and Nano Scratch Tester (NST) were applied for characterization of the effect of the plasma post-treatments on the mechanical behavior of a porous low-k material. Preliminary results are presented and discussed in this paper.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号