首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Inductively coupled plasma reactive ion etching of CoFeB magnetic thin films patterned with Ti hard mask was studied in a CH3OH/Ar gas mix. As the CH3OH concentration increased, the etch rates of CoFeB thin films and Ti hard mask decreased but the etch profiles improved with high degree of anisotropy. The effects of coil rf power, dc-bias voltage and gas pressure on the etch characteristics were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and decreasing gas pressure. The degree of anisotropy in the etch profile of CoFeB films improved with increasing coil rf power and dc-bias voltage. X-ray photoelectron spectroscopy revealed that the chemical compounds containing Co and Fe components were formed during the etching. However, it was expected that the formation of these compounds could not increase the etch rates of the films due to low volatile compounds despite the improvement in etch profile.  相似文献   

2.
Inductively coupled plasma reactive ion etching of titanium thin films patterned with a photoresist using Cl2/Ar gas was examined. The etch rates of the titanium thin films increased with increasing the Cl2 concentration but the etch profiles varied. In addition, the effects of the coil rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and gas pressure. The degree of anisotropy in the etched titanium films improved with increasing coil rf power and dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed the formation of titanium compounds during etching, indicating that Ti films etching proceeds by a reactive ion etching mechanism.  相似文献   

3.
Etch characteristics of L10 FePt thin films masked with TiN films were investigated using an inductively coupled plasma (ICP) reactive ion etching in a CH3OH/Ar plasma. As the CH3OH gas was added to Ar, the etch rates of FePt thin films and TiN hard mask gradually decreased, and the etch profile of FePt films improved with high degree of anisotropy. With increasing ICP rf power and dc-bias voltage to substrate and decreasing gas pressure, the etch rate increased and the etch profile becomes vertical without any redepositions or etch residues. Based on the etch characteristics and surface analysis of the films by X-ray photoelectron spectroscopy, it can be concluded that the etch mechanism of FePt thin films in a CH3OH/Ar gas does not follow the reactive ion etch mechanism but the chemically assisted sputter etching mechanism, due to the chemical reaction of FePt film with CH3OH gas.  相似文献   

4.
Dry etching of indium zinc oxide (IZO) thin films was performed using inductively coupled plasma reactive ion etching in a C2F6/Ar gas. The etch characteristics of IZO films were investigated as a function of gas concentration, coil rf power, dc-bias voltage to substrate, and gas pressure. As the C2F6 concentration was increased, the etch rate of the IZO films decreased and the degree of anisotropy in the etch profile also decreased. The etch profile was improved with increasing coil rf power and dc-bias voltage, and decreasing gas pressure. An X-ray photoelectron spectroscopy analysis confirmed the formation of InF3 and ZnF2 compounds on the etched surface due to the chemical reaction of IZO films with fluorine radicals. In addition, the film surfaces etched at different conditions were examined by atomic force microscopy. These results demonstrated that the etch mechanism of IZO thin films followed sputter etching with the assistance of chemical reaction.  相似文献   

5.
Do Young Lee 《Thin solid films》2009,517(14):4047-4051
Inductively coupled plasma reactive ion etching of indium zinc oxide (IZO) thin films masked with a photoresist was performed using a Cl2/Ar gas. The etch rate of the IZO thin films increased as Cl2 gas was added to Ar gas, reaching a maximum at 60% Cl2 and decreasing thereafter. The degree of anisotropy in the etch profile improved with increasing coil rf power and dc-bias voltage. Changes in pressure had little effect on the etch profile. X-ray photoelectron spectroscopy confirmed the formation of InCl3 and ZnCl2 on the etched surface. The surface morphology of the films etched at high Cl2 concentrations was smoother than that of the films etched at low Cl2 concentrations. These results suggest that the dry etching of IZO thin films in a Cl2/Ar gas occurs according to a reactive ion etching mechanism involving ion sputtering and a surface reaction.  相似文献   

6.
Su Ryun Min 《Thin solid films》2008,516(11):3521-3529
The etching characteristics of ZnO thin films were examined in an HBr/Ar gas mix using an inductively coupled plasma reactive ion etching system. The etch rate and etch profile were systematically investigated as a function of gas concentration. In addition, the effects of etch parameters such as coil rf power, dc-bias voltage, and gas pressure were studied. As the HBr concentration increased, the etch rate of the ZnO films gradually decreased while the etch profile was improved. Surface analyses including X-ray photoelectron spectroscopy and atomic force microscopy were employed to elucidate the etch mechanism of ZnO in an HBr/Ar chemistry.  相似文献   

7.
Etch characteristics of MgO thin films were investigated using an inductively coupled plasma reactive ion etcher in a HBr/Ar plasma. As the concentration of HBr gas increased, the etch rate of MgO thin films gradually decreased, but the etch rate of Ti hard mask showed initial decrease and then increased with increasing HBr concentration. The etch profile of MgO films was improved with increasing HBr concentration and a high degree of anisotropy in etch profile was achieved at 30% HBr/Ar gas. Based on the etch characteristics and surface analysis by X-ray photoelectron spectroscopy, it can be concluded that the etch mechanism of MgO thin films in a HBr/Ar gas does not follow the reactive ion etch mechanism but the sputter etching mechanism with the assistance of chemical reactions on the film surfaces.  相似文献   

8.
The etch characteristics of CoFeB magnetic films and magnetic-tunnel-junction (MTJ) stacks masked with Ti films were investigated using an inductively coupled plasma reactive ion etching in a HBr/Ar gas mix. The etch rate, etch selectivity, and etch profile of the CoFeB films were obtained as a function of the HBr concentration. As the HBr gas was added to Ar, the etch rate of the CoFeB films, and the etch selectivity to the Ti hard mask, gradually decreased, but the etch profile of the CoFeB films was improved. The effects of the HBr concentration and etch parameters on the etch profile of the MTJ stacks with a nanometer-sized 70 x 100 nm2 pattern were explored. At 10% HBr concentration, low ICP RF power, and low DC-bias voltage, better etch profiles of the MTJ stacks were obtained without redeposition. It was confirmed that the protective layer containing hydrogen, and the surface bombardment of the Ar ions, played a key role in obtaining a steep sidewall angle in the etch profile. Fine-pattern transfer of the MTJ stacks with a high degree of anisotropy was achieved using a HBr/Ar gas chemistry.  相似文献   

9.
Etch characteristics of CoFeB magnetic thin films patterned with TiN hard masks were investigated using inductively coupled plasma reactive ion etching in H2O/Ar and H2O/CH4 gas mixes. As the H2O concentration in the H2O/Ar gas increased, the etch rates of CoFeB and TiN films decreased simultaneously, while the etch selectivity increased and etch profiles improved slightly without any redeposition. The addition of CH4 to the H2O gas resulted in an increase in etch selectivity and a higher degree of anisotropy in the etch profile. X-ray photoelectron spectroscopy was performed to understand the etch mechanism in H2O/CH4 plasma. A good pattern transfer of CoFeB films masked with TiN films was successfully achieved using the H2O/CH4 gas mix.  相似文献   

10.
In this study, we monitored the HfAlO3 etch rate and selectivity to SiO2 as a function of the etch parameters (gas mixing ratio, RF power, DC-bias voltage, and process pressure). A maximum etch rate of 52.6 nm/min was achieved in the 30% BCl3/(BCl3 + Ar) plasma. The etch selectivity of HfAlO3 to SiO2 reached 1.4. As the RF power and the DC-bias voltage increased, the etch rate of the HfAlO3 thin film increased. As the process pressure decreased, the etch rate of the HfAlO3 thin films increased. The chemical state of the etched surfaces was investigated by X-ray Photoelectron Spectroscopy (XPS). According to the results, the etching of HfAlO3 thin films follows the ion-assisted chemical etching mechanism.  相似文献   

11.
Inductively coupled plasma reactive ion etching of CoZrNb magnetic thin films was studied using a TiN hard mask in a Cl2/O2/Ar gas mix. The etch rates of CoZrNb films and TiN hard mask gradually decreased with increasing Cl2 or O2 gas concentrations. When O2 gas was added in the Cl2/Ar gas mix, the etch rate of TiN hard mask was suppressed effectively so that the etch selectivity of CoZrNb film to TiN hard mask was enhanced. The addition of O2 into the gas mix also led to the anisotropic etching of the CoZrNb films and it was confirmed by Auger electron spectroscopy that there were no redeposited materials on the sidewall of the etched films. Highly anisotropic etching of CoZrNb films was achieved at room temperature under the optimized etching conditions.  相似文献   

12.
In this work, we investigated the etching characteristics of TiO2 thin films and the selectivity of TiO2 to SiO2 in a BCl3/Ar inductively coupled plasma (ICP) system. The maximum etch rate of 84.68 nm/min was obtained for TiO2 thin films at a gas mixture ratio of BCl3/Ar (25:75%). In addition, etch rates were measured as a function of etching parameters, such as the RF power, DC-bias voltage and process pressure. Using the X-ray photoelectron spectroscopy analysis the accumulation of chemical reaction on the etched surface was investigated. Based on these data, the ion-assisted physical sputtering was proposed as the main etch mechanism for the BCl3-containing plasmas.  相似文献   

13.
Anatase nano-TiO2 thin films were fabricated by reactive magnetron sputtering metal Ti target followed by thermal annealing in air at 450 °C for 2 hrs. The crystalline structure of the sample films were characterized by X-ray diffraction (XRD) and the hydrophilicity was characterized with the diameters of 1 μl water drop. The films were irradiated by oxygen plasmas and the effects of the radio frequency (rf) power, the gas pressure and the irradiation time of the oxygen plasmas on the hydrophilicity of the TiO2 thin films were investigated. Hydrophilicity can be induced by oxygen plasmas and further more the hydrophilicity shows high stability whenever under the natural light or in dark.  相似文献   

14.
M.H. Shin  S.H. Jung  N.-E. Lee 《Thin solid films》2007,515(12):4950-4954
Effect of doping elements on the etching characteristics of doped-ZnO (Ag, Li, and Al) thin films, etched with a positive photoresist (PR) mask, and an etch process window for infinite etch selectivity were investigated by varying the CH4 flow ratio and self-bias voltage, Vdc, in inductively coupled CH4/H2/Ar plasmas. Increased doping of ZnO films decreased the etch rates significantly presumably due to lower volatility of reaction by-products of doped Li, Ag, and Al in CH4/H2/Ar plasmas. The etch rate of AZO (Al-doped ZnO) was most significantly decreased as the doping concentration is increased from 4 to 10 wt%. It was found that process window for infinite etch selectivity of the doped ZnO to the PR is closely related to a balance between deposition and removal processes of a-C:H (amorphous hydrogenated carbon) layer on the doped-ZnO surface. Measurements of optical emission of the radical species in the plasma and surface binding states by optical emission spectroscopy (OES) and X-ray photoelectron spectroscopy (XPS), respectively, implied that the chemical reaction of CH radicals with Zn atoms in doped-ZnO play an important role in determining the doped-ZnO etch rate together with an ion-enhanced removal mechanism of a-C:H layer as well as Zn(CHx)y etch by-products.  相似文献   

15.
Carbon nitride (CNx) thin films were deposited by radio frequency plasma enhanced chemical vapour deposition (rf PECVD) technique from a gas mixture of methane (CH4), hydrogen (H2) and nitrogen (N2). The effects of rf power on the structural properties of CNx thin films were discussed in this paper. It was found that rf power had significant effects on the growth rate, structural and morphological properties of the deposited films. The point of transition of the growth rate trend marked the equilibrium condition for primary and secondary reactions in growth kinetics of the film with respect to rf power. The films grown at this optimum rf power were most ordered in structure with high surface roughness and had the lowest N incorporation. This work showed that H etching effects and ion bombardment effects increase with increase in rf power and strongly influenced the structure of the CNx films.  相似文献   

16.
H.M. Naguib  R.A. Bond  H.J. Poley 《Vacuum》1983,33(5):285-290
We have investigated the plasma etching characteristics of chromium thin films in an rf planar (parallel plate) reactor. The experimental work was performed using a commercial reactor operating at 13.56 MHz with power variable up to 500 W. The etch rate of the Cr films deposited on glass substrates by e-beam evaporation was measured as a function of the concentration of O2 in a CCl4/O2 gas mixture, the total flow rate of input gases and the rf power density. Using a total gas flow of 15 sccm and an input power density of 0.4 W cm?2, the maximum etch rate was obtained in CCl4 plasma containing 40% O2. It was found that doubling the number of the substrates in the reactor decreased the etch rate by 20%. Also, the etch rate at the back of the reactor was twice that at the front. Methods to alliviate non-uniformity and loading effects are discussed and the mechanism of plasma etching of Cr is examined through the effect of various processing parameters on the etching characteristics.  相似文献   

17.
In this research, we investigated the TaN etch rate and selectivity with under layer (HfO2) and mask material (SiO2) in inductively coupled CH4/Ar plasma. As the CH4 content increased from 0% to 80% in CH4/Ar plasma, the TaN etch rate was increased from 11.9 to 22.8 nm/min. From optical emission spectroscopy (OES), the intensities for CH [431 nm] and H [434 nm] were increased with the increasing CH4 content from 0% to 100% in CH4/Ar plasma. The results of x-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) showed no accumulation of etch by-products from the etched surface of TaN thin film. As a result of OES, AES and XPS analysis, we observed the etch by-products from the surfaces, such as Ta-N-CH and N-CH bonds. Based on the experimental results, the TaN etch was dominated by the chemical etching with the assistance of Ar sputtering in reactive ion etching mechanism.  相似文献   

18.
Xue-Yang 《Thin solid films》2010,518(22):6441-6445
In this study, the etching characteristics of ALD deposited Al2O3 thin film in a BCl3/N2 plasma were investigated. The experiments were performed by comparing the etch rates and the selectivity of Al2O3 over SiO2 as functions of the input plasma parameters, such as the gas mixing ratio, the DC-bias voltage, the RF power, and the process pressure. The maximum etch rate was obtained at 155.8 nm/min under a 15 mTorr process pressure, 700 W of RF power, and a BCl3 (6 sccm)/N2 (14 sccm) plasma. The highest etch selectivity was 1.9. We used X-ray photoelectron spectroscopy (XPS) to investigate the chemical reactions on the etched surface. Auger electron spectroscopy (AES) was used for the elemental analysis of the etched surfaces.  相似文献   

19.
Etch characteristics of magnetic tunnel junction (MTJ) stack masked with TiN films were investigated using an inductively coupled plasma reactive ion etcher in Cl2/Ar and BCl3/Ar gases for magnetic random access memory. The effect of etch gas on the etch profile of MTJ stacks was examined. As Cl2 and BCl3 concentrations increased, the etch slope of etched MTJ stack became slanted and the dimensional shrinkage was observed. A high degree of anisotropic etching of MTJ stacks was achieved using Cl2/Ar gas at the optimized etch conditions.  相似文献   

20.
We have investigated the selective etching of 50 μm diameter via-holes for etch depth >200 μm using 30 μm thick photo resist mask in Inductively Coupled Plasma system with Cl2/BCl3 chemistry. Resultant etch rate/etch profiles are studied as a function of ICP process parameters and photo resist mask sidewall profile. Etch yield and aspect ratio variation with process pressure and substrate bias is also investigated at constant ICP power. The etch yield of ICP process increased with pressure due to reactant limited etch mechanism and reached a maximum of ∼19 for 200 μm depth at 50 mTorr pressure, 950 W coil power, 80 W substrate bias with an etch rate ∼4.9 μm/min. Final aspect ratio of etched holes is increased with pressure from 1.02 at 20 mTorr to 1.38 at 40 mTorr respectively for fixed etch time and then decreased to 1.24 at 50 mTorr pressure. The resultant final etch profile and undercut is found to have a strong dependence on the initial slope of photo resist mask sidewall angle and its selectivity in the pressure range of 20-50mTorr.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号