首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
介绍了一种易于实现的基于断言的验证(ABV)方法,即经过5个步骤在设计文件中插入断言,使仿真器在仿真过程中监视设计中的关键功能点.该方法在UART的寄存器传输级(RTL)模型功能验证中的应用,实验中使用SVA描述设计属性.实验证明,这一方法提高了设计的可观察性,适用于数字集成电路功能验证.  相似文献   

2.
张挺  陈岚  冯燕 《微电子学与计算机》2012,29(6):150-152,157
随着集成电路设计复杂程度的不断提高.功能验证越来越受到重视.一种新兴的验证方法,基于断言的验证,得到越来越广泛的应用.介绍了基于断言的验证方法.及其在WISHBONE到AHB转换接口验证中的应用,总结了断言验证在功能验证中的优势和特点.  相似文献   

3.
EDA界的标准化组织Accellera最近确定IBM的sugar语言为标准的属性说明语言,可以用于基于断言验证技术的设计属性说明.文章首先介绍了基于断言验证的基本概念和属性说明语言PSL的用途和属性定义.然后给出了用PSL实现基于断言的硬件验证方法.用一个实例说明了怎样用PSL语言实现基于断言的验证.  相似文献   

4.
随着SoC(System on-a-Chip)设计规模的指数增长,验证时的模拟时间也变得越来越长,已经到了令人无法忍受的地步.因此如何进行有效、充分的验证,尤其是功能验证已经成为SoC设计方法学中重要的内容.本文将要介绍的基于断言的验证(Assertion Based Verification,ABV)是SoC设计功能验证的一种有效的方法,能够有效地提高验证效率.  相似文献   

5.
本文比较了AMBA的AHB协议和Wishbone协议,提出使用SystemVerilog语言实现AHB-Wishbone总线桥的方法。文中阐述了如何将SystemVerilog断言嵌入到设计中,监视总线信号的时序关系。结合Mentor公司的高级验证方法学(AVM)搭建验证平台,并对设计进行功能验证,采用了事务级的验证策略以及随机约束和功能覆盖率等验证技术新特性。总线桥验证平台能够极大的提高验证效率,其组件具有可重用性。最后在ModelSim工具下进行了仿真,仿真报告和结果说明了总线桥的设计符合要求。  相似文献   

6.
断言语言SVA在硬件功能验证中的应用   总被引:1,自引:0,他引:1  
基于断言的验证(Assertion Based Verification,ABV),是一种有价值的主流验证技术.断言特别适合于描述时序特性和因果特性.作为System Verilog的重要组成部分,System VerilogAssertion(SVA)提供了丰富的断言指令,能有效的提高验证测试工作的质量和效率.文章首先介绍了断言验证语言System Verilog Assertion,并针对ARM公司的AMBA总线中的AHB仲裁器模块的设计,给出了一种基于断言的验证方法,采用SVA对其进行验证测试.测试仿真的结果表明,本文给出的方法的有效性和正确性.  相似文献   

7.
杨弢  罗春  杨军 《电子工程师》2006,32(3):4-6,10
随着SoC(片上系统)设计能力的快速发展和工艺水平的不断提高,芯片功能日益复杂,功能验证的任务也日渐加大。文中以LCD(液晶显示器)控制器为例,利用随机矢量生成技术和断言技术,实现了该控制器的功能验证。验证结果表明,采用两者相结合的技术能有效地搭建复杂验证环境,大大提高了验证效率,并取得了良好的效果。  相似文献   

8.
王锐  冯煌 《中国集成电路》2013,22(10):50-57
基于断言的验证方法已经广泛应用于芯片设计验证,其主要作用是提高验证效率和验证质量。由于手工设计的断言需要耗费大量人力和时间,使得断言的应用受到很大限制。断言合成可以自动生成高质量的白盒电路断言。本文介绍了一种基于断言合成的验证方法学与流程,以及其在验证流程中的多个应用:评估验证过程的进度;协助完成IP的coverage signoff;帮助在硬件仿真时发现问题,并且在验证的不同阶段协助发现或者快速定位芯片设计中的缺陷。  相似文献   

9.
基于断言的SRAM控制器功能验证   总被引:3,自引:0,他引:3  
刘晓  杨军 《电子工程师》2007,33(2):18-20,55
传统的基于约束的随机矢量生成验证技术在验证过程中存在难于定位bug的缺点,从而增加了验证时间。文中将断言技术和随机矢量验证方法相结合形成基于断言的验证方法,通过在设计实现中加入断言,实时监控设计特性,使设计bug更加容易定位,从而缩短验证过程。以SRAM控制器为例,实验结果表明整个验证时间缩短40%以上,加快了设计验证进度。  相似文献   

10.
硬件设计复杂度的增加使功能验证成为硬件设计方法学中的重要内容,基于断言技术的硬件设计验证技术(ABV:Assertion Based Verification)得到越来越多的应用。本文首先简要地介绍了硬件设计断言的类型,然后详细讨论了使用断言进行硬件设计验证的方法,包括:断言在仿真中的应用,断言在形式验证中的应用,结合了仿真及形式验证的混合形式验证等.本文还介绍了支持断言验证技术的设计语言和设计属性检查库,包括OVL(Open Verification Library)、OVA(OpenVera Assertion)和OVA设计属性检查库等。  相似文献   

11.
结合断言与覆盖率为导向的验证方法   总被引:3,自引:2,他引:3  
伴随着半导体工艺的不断发展,可以将更多的功能集成到单系统芯片上.这对传统的验证方法和验证途径提出了种种挑战.以覆盖率为导向的验证方法中,覆盖率模型是在外部通过DUT执行的功能来统计覆盖率,很难侦测到DUT内部的工作状态,存在对功能"遗漏点"的侦测.基于断言的验证方法可以将断言加入到DUT内部,通过断言覆盖加强覆盖率检测.阐述了将断言和覆盖率为导向相结合的验证方法,并用此种方法对USB2.0系统进行了验证.讨论了如何将两种验证方法有效地结合,并且通过比较覆盖率为导向的验证方法与结合断言与覆盖率为导向的验证方法的结果,说明结合断言与覆盖率为导向的验证方法提高了验证过程中的观测性,减少了验证周期.  相似文献   

12.
提出了设计错误类型和断言分类相结合的方法,依据常见的RTL设计错误指导断言的分类选取,从而提高断言插入的针对性和目的性.同时,提出了断言层次化设计方法,将复杂的断言设计拆分成为多个层次化的步骤,以降低断言设计难度,减少断言代码自身错误.通过验证实例,证明了所提方法能够实现断言的高效嵌入,进而明显提升功能验证的效率.  相似文献   

13.
基于断言的硬件设计功能验证技术   总被引:1,自引:0,他引:1  
作为一种对设计对象的属性特性或行为特性的的描述,断言(声明,assertion)并不是一个新的概念.实际上在软件设计中,断言已经得到了广泛的应用,它可以帮助软件工程师在软件开发及测试过程中更早更快的发现、定位出软件中可能存在的错误.  相似文献   

14.
杜宁  吴国增 《电子测试》2007,(10):51-53
针对排除芯片设计流程中RTL级的错误时,需要花费大量时间看仿真波形与日志文件的问题,本文介绍了基于断言的硬件功能验证技术的基础,以及利用断言技术在黑盒验证中测试一设备输出信号时的应用,总结了断言验证的优点,以及断言与半形式化验证结合的特点,断言技术与动态仿真、形式验证、激励自动生成等技术结合,能更快更有效的发现硬件设计过程中RTL级的错误,成为验证方法学的焦点.  相似文献   

15.
随着集成电路芯片设计难度的提高,对芯片设计的验证也变得越来越复杂.能够高效全面的验证,同时缩短整个开发周期,降低设计成本是验证工作者面对的重要问题.对此基于Verilog HDL设计了CAN总线节点的RTL验证模型,并依据建立验证模型的需求,增加了错误注入功能及用户接口,从而简化测试平台开发的复杂度,最终完成对被测目标中CAN模块的协议完整性的测试.通过对典型操作实例的分析,验证了该方法的有效性.  相似文献   

16.
针对基于PON结构的FC_AE航空总线协议芯片的验证需求与功能特性,提出了一种基于RTL级组网功能仿真验证的方法,阐述该方法下仿真验证平台测试用例模块、参考模型模块、结果检测器模块、验证平台整合模块的实现原理,最后,采用该方法搭建了FC_AE协议芯片的仿真验证环境,完成该芯片的仿真验证.该方法基于多种语言自动化验证平台,可从系统级与芯片级双通道验证芯片功能,有效的提高了验证效率,缩短了整个设计验证周期,为芯片的成功投片提供了可靠的保证.  相似文献   

17.
针对于目前系统-芯片测试中加载测试矢量时间过长和测试面积开销较大的问题,阐述了一种基于系统复用总线传输的测试访问机制,同时详细描述了其硬件电路的实现和应用测试语言编写功能测试矢量进行测试实验的具体流程。通过实验数据比较显示,该测试架构有助于大量减小测试矢量加载时间和测试面积开销。  相似文献   

18.
随着SoC设计复杂程度的不断提高,芯片的功能验证面临的挑战越来越大。断言作为一种描述属性的方法,可以快速地验证设计代码是否满足系统要求。基于断言的验证方法学近年来发展极为迅速,应用也越来越广泛。在基于Multi-layer AHB总线架构上的SoC系统验证过程中,采用System Verilog Assertion验证方法,证明SVA是SoC设计过程中功能验证的一种有效的验证方法。  相似文献   

19.
在实际工业验证场景中,形式化验证的局限性主要体现在因为状态空间爆炸导致验证结果不明确。断言编码方式始终是直接影响到形式化验证结果的主要因素,而目前已有的断言优化方法并未以断言与状态空间大小的关系分析为基础。文中针对影响锥模型不能分析断言中时序关系对状态空间的影响的问题,提出长序列模型,分析形式化验证中断言与状态空间大小的定性关系。在此基础上,提出适用于形式化验证的断言优化方法,方法包含断言逻辑化简、辅助验证逻辑、参考模型和断言禁用条件,并以某商用HDLC IP核为例,对比优化前后的验证结果,证明优化的有效性。  相似文献   

20.
时岩 《电子技术》2011,38(12):18-19
文章在仔细研究了目前常用的集成电路验证方法后,采用仿真验证方式对PCI控制器进行功能验证.设计中架构了基于总线功能模型的验证平台,使用脚本程序协助控制验证和代码调试的过程,提高验证过程的自动化程度.验证平台具有很好的可重用性,为后续PCI相关产品开发提供了参考,节省开发的时间和精力.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号