首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
A technique for forming shallow junctions with low-resistance silicide contacts developed for the use in VLSI with scaled MOSFETs is discussed. The salicide (self-aligned silicide) MOSFET gate and source-drain features self-aligned refractory metal silicide and are isolated from one another even without any insulating spacer on the gate sides. A critical step in such a MOSFET fabrication process is the ion implantation through metal silicidation technique, which includes As+ ion-beam-induced titanium-silicon interface mixing and infrared rapid heat treatment to form simultaneously the n+-p junction and a high-quality TiN covered TiSi2 contact layer  相似文献   

2.
A reliable contact diffusion barrier has been successfully formed by sintering in nitrogen a physically sputtered W/Ti bilayer. After a 650°C furnace anneal, a TiNx/TiSiy layer on contact with the silicon substrate was formed beneath the overlying W. No reaction between N2 and W was observed. Arsenic implanted in the silicon substrate tended to retard the silicidation of titanium. Substantial redistribution of both B and As across the silicide layer was also observed during the contact sintering process. The 1.0-μ contacts fabricated with the Al/W/TiNx/TiSiy/Si barrier technology exhibited low and tightly distributed contact resistivities (less than 10-6 Ω-cm2). No excessive leakage of the shallow junctions was observed even after thermally stressing the sample at 400°C for 8 h  相似文献   

3.
Various effects of silicidation on shallow p+ n junctions formed by the scheme that implants BF2+ ions into thin poly-Si films on Si substrates are described. A post-Ni silicidation just slightly improves the preformed junctions of the annealed sample. However, as the sample is first deposited with thin Ni films after the implantation and then annealed, the resulting junctions are much better than the preformed ones. Moreover, as the sample is deposited with Ti films, the resultant junctions are just slightly better the preformed ones  相似文献   

4.
A new technology for forming a titanium-silicide shallow junction by combining germanium implantation with an amorphous-silicon (or a poly-silicon) buffer layer has been proposed for MOSFETs. The use of a buffer layer between Ti and Si can avoid the consumption of bulk-silicon and the recession of TiSi2 film into the source/drain junctions during the silicidation process. In this study, the important role of germanium-implantation on the formation of TiSi2 contacted p+/n junctions was examined. After subsequent implantation of Ge+ and B+ into the TiSi2 film, samples were annealed at different temperatures to form p +/n junctions and C54-TiSi2. Since the penetration of titanium atoms was suppressed due to the germanium-implantation, the periphery leakage and the generation leakage were improved and TiSi2/Si interfaces were even smooth. Therefore, p+/n junctions with a very low leakage current (0.192 nA/cm 2 at -5 V) and an excellent forward ideality factor (n≈1.002) can be obtained. From the secondary ion mass spectrometry (SIMS) analysis, the junction depth is 400  相似文献   

5.
Plasma immersion ion implantation (PIII) is an efficient method for fabricating high-quality p+/n diodes with junction depths below 100 nm. SiF4 is implanted to create an amorphous Si layer to retard B channeling and diffusion, and then BF3 is implanted. Ultrashallow p+/n junctions are formed by annealing at 1060 °C for 10 s. With the shallow implants, no extended defects are observed in device or peripheral areas due to rapid outdiffusion of fluorine. Diode electrical characteristics yield forward ideality factor of 1.05-1.06 and leakage current density below 2 nA/cm 2 in the diode bulk. Minority-carrier lifetime below the junction is greater than 250 μs  相似文献   

6.
The device degradation of dual-polycide-gate N+/P+ CMOS polycide transistors due to the lateral diffusion of dopants in the silicides is studied using a coupled 2-D process and device simulator. Design rule spacings between the NMOS and the PMOS transistor are given for various NMOS:PMOS gate area ratios and thermal processing conditions. The simulations show that contrary to previous findings, micrometer and submicrometer spacings are possible for certain silicide technologies using low-temperature or short higher-temperature furnace steps. Simulations show that CoSi2 and TiSi2 appear to be better candidates for submicrometer dual-gate applications than WSi2  相似文献   

7.
Backscattering and X-ray techniques have been used to study properties of palladium silicide (Pd2Si) formed by evaporating thin Pd layers on Si followed by heat treatment. The rate of formation of Pd2Si in the temperature range of 200–275°C has been measured by 2-MeV 4He+ ion backscattering. The Pd2Si layer is found to grow at a rate proportional to the square root of time for thicknesses ranging from approximately 200–4000 Å. The rate of growth is found to be independent of Si substrate orientation or doping type and the rate constant is found to fit a single activation energy of Ea = 1·5±0·1 eV over the temperature range measured. X-ray diffraction indicates the structure to be Pd2Si with the basal plane roughly parallel to the substrate surface for films formed on 111, 110, 100 and evaporated (amorphous) silicon substrates. The degree of preferred orientation is markedly stronger on [111] Si. Ion channeling measurements confirm that in this case the c-direction of the Pd2Si is parallel with the [111] direction in the underlying Si.  相似文献   

8.
In this paper, the effects of nitrogen coimplantation with boron into p+-poly gate in PMOSFETs on the agglomeration effects of CoSi2 are studied. The thermal stability of CoSi2/poly-Si stacked layers can be significantly improved by using nitrogen implantation. Samples with 40-nm cobalt silicide (CoSi 2) on 210-nm poly-Si implanted by 2×1015/cm 2 N2+ are thermally stable above 950°C for 30 s in N2 ambient. If the dose of nitrogen is increased up to 6×1015/cm2, the sheet resistance of CoSi2 film is not increased at all, and TEM photographs show that the agglomeration of CoSi2 film is completely suppressed  相似文献   

9.
The absorption and emission cross sections of the transition between the ground spin-orbit multiplet and the lowest excited multiplet were measured for Er3+, Tm3+, and Ho3+ ions in a variety of crystalline hosts. The materials that were investigated include LiYF4, BaY2F8, Y 3Al5O12, LaF3, KCaF3 , YAlO3, and La2Be2O5. The absolute magnitudes of the emission cross sections were determined from the absorption spectra, with the aid of the principle of reciprocity. The calculated radiative emission lifetimes derived from these measured cross sections agree well with the measured emission decay times for most materials. The potential use of these rare-earth-doped materials in pulsed laser applications requires that the ground state exhibit adequate splitting to minimize the detrimental effects of the ground state thermal population, and also that the emission cross section be sufficiently large to permit efficient extraction energy. The systems based on Ho3+ in the eightfold coordinated sites of LiYF4, BaY2F8, and Y3Al5O12 appear to be the most promising  相似文献   

10.
Ultra-shallow p+/n and n+/p junctions were fabricated using a Silicide-As-Diffusion-Source (SADS) process and a low thermal budget (800-900°C). A thin layer (50 nm) of CoSi2 was implanted with As or with BF2 and subsequently annealed at different temperatures and times to form two ultra-shallow junctions with a distance between the silicide/silicon interface and the junction of 14 and 20 nm, respectively. These diodes were investigated by I-V and C-V measurements in the range of temperature between 80 and 500 K. The reverse leakage currents for the SADS diodes were as low as 9×10 -10 A/cm2 for p+/n and 2.7×10-9 A/cm2 for n+/p, respectively. The temperature dependence of the reverse current in the p +/n diode is characterized by a unique activation energy (1.1 eV) over all the investigated range, while in the n+/p diode an activation energy of about 0.42 eV is obtained at 330 K. The analysis of the forward characteristic of the diodes indicate that the p+ /n junctions have an ideal behavior, while the n+/p junctions have an ideality factor greater than one for all the temperature range of the measurements. TEM delineation results confirm that, in the case of As diffusion from CoSi2, the junction depth is not uniform and in some regions a Schottky diode is observed in parallel to the n+/p junction. Finally, from the C-V measurements, an increase of the diodes area of about a factor two is measured, and it is associated with the silicide/silicon interface roughness  相似文献   

11.
A unified formalism, including space-charge-limited (SCL) conduction, tunneling, and the multiple-image-force effect, is developed to perform a complete analysis of Si n+-i-n+ homojunction interfacial workfunction internal photoemission (HIWIP) FIR detectors. It is shown that due to the space-charge effect, the detector performance, such as cutoff wavelength (λc), quantum efficiency (η), dark current (Id), noise equivalent power (NEP), etc., is strongly influenced by the i-layer thickness (Wi ) and compensating acceptor concentration (Nai) in addition to the emitter layer parameters. As a result, the optimum operating conditions of detectors also depend on W and Nai. The background limited performance (BLIP) is evaluated, and a critical W i value is found for BLIP operation  相似文献   

12.
The small signal gain coefficients were measured in Tm3+,Ho3+ co-doped alumino-zirco-fluoride glass. A gain of 15%/cm at 2.05 μm was obtained for pump power density of 42 kW/cm2. The temperature increase of the glass was found to be more than 150 K with this pump power, which was estimated from a comparison between fluorescence intensities of Tm3+ 3 F4-3H6 and Ho3+ 5 I7-5I8. An upconversion rate constant of 12.5×10-17 cm3 sec-1 from a coupled (Tm3+ 3F4, Ho3+ 5I7) level to a coupled (Tm3+ 3H5, Ho3+ 5I6) level was determined by fitting the experimentally obtained gain coefficients to the calculated one which takes into consideration any temperature increase  相似文献   

13.
Previously, we proposed n+-p+ double-gate SOI MOSFET's, which have n+ polysilicon for the back gate and p+ polysilicon for the front gate to enable adjustment of the threshold voltage, and demonstrated high speed operation. In this paper, we establish analytical models for this device, This transistor has two threshold voltages related to n+ and p+ polysilicon gates: Vth1 and Vth2, respectively. V th1 is a function of the gate oxide thickness tOx and SOI thickness tSi and is about 0.25 V when tOx/tSi=5, while Vth2 is insensitive to tOx and tSi and is about 1 V. We also derive models for conduction charge and drain current and verified their validity by numerical analysis. Furthermore, we establish a scaling theory unique to the device, and show how to design the device parameters with decreasing gate length. We show numerically that we can design sub 0.1 μm gate length devices with an an appropriate threshold voltage and an ideal subthreshold swing  相似文献   

14.
Recent band structure calculations indicate, that ruthenium silicide (Ru2Si3) is semiconducting with a direct band gap. Electrical measurements lead to a band gap around 0.8 eV which is technologically important for fiber communications. This makes Ru2Si3 a promising candidate for silicon-based optical devices, namely LEDs. We present results on the epitaxial growth of ruthenium silicide films on Si(100) and Si(111) fabricated by the template method, a special molecular beam epitaxy technique. We structurally characterized the films by Rutherford backscattering and ion channeling, X-ray diffraction and transmission electron microscopy. To determine the electrical resistivity at high temperatures films were grown on insulating substrates to prevent parallel conduction through the substrate. Finally we show first results of the optical absorption performed by photothermal deflection spectroscopy indicating pronounced absorption above 1.5 eV.  相似文献   

15.
Laser action has been observed for the following rare-earth ions in YAlO3:Ho3+(sensitized with Er3+and Tm3+), Er3+, and Tm3+(sensitized with Er3+) at wavelengths of 2.123, 0.851, and 1.861 μm, respectively. Measurements of spectroscopic properties, fluorescence kinetics, and laser performance of these ions in YAlO3are reported.  相似文献   

16.
The impact of Co incorporation on the electrical characteristics has been investigated in n+/p junction formed by dopant implantation into CoSi2 and drive-in anneal. The junctions were formed by As+ (30 or 40 keV, 1×1016 cm -2) implantation into 35 nm-thick CoSi2 followed by drive-in annealing at 900°C for 30 s in an N2 ambient. Deeper junction implanted by As+ at 40 keV was not influenced by the Co incorporation. However, for shallower junction implanted by As + at 30 keV, incorporation of Co atoms increased its leakage current, which were supposed to be dissociated from the CoSi2 layer by silicide agglomeration during annealing. The mechanism of such a high leakage current was found to be Poole-Frenkel barrier lowering induced by high density of Co traps  相似文献   

17.
Thickness scaling issues of Ni silicide   总被引:1,自引:0,他引:1  
Ni silicidation processes without a capping layer and with a TiN capping layer are studied from the point of view of process window, morphology of the resulting silicide, and mechanisms of degradation at higher temperatures. The thermal stability of NiSi films on As- and on B-doped (100) Si substrates was investigated for Ni film thicknesses ranging from 5 to 30 nm. While agglomeration was the mechanism of degradation for the thin films, both morphological changes and transformation to NiSi2 were possible for thicker films depending on anneal temperature and time. Activation energy of 2.5 eV for NiSi on n+ (100) Si and p+ (100) Si was determined for the process of morphological degradation. The measured temperature and time dependences for the thermal degradation of NiSi films suggest that the activation energy for transformation to NiSi2 is higher than for morphological degradation.  相似文献   

18.
Very shallow elevated n+/p junctions formed by arsenic implant into or through cobalt silicide (CoSi2) formed on selective epitaxial layers and their application to deep submicron n-channel MOSFETs were studied for the first time. PREDICT 1.6 simulation program was employed to choose the desired implant energies and annealing thermal cycle based on theoretically predicted silicide thickness. The implanted CoSi2 elevated junctions had low reverse current and no bias voltage dependence up to 5 V. Diffusion current dominated the junction forward current, and good ideality factors close to 1 were obtained. A nearly abrupt junction doping profile was achieved. Deep submicron n-channel MOSFETs incorporating implanted CoSi2 elevated junctions were demonstrated. Sharp turn-off and reasonably large drain currents were achieved  相似文献   

19.
We have investigated the excimer laser recrystallization of slightly oxygen-added amorphous silicon (a-SiOx) films for the application to the active layer of thin film transistors. We also propose a new method to reduce the surface roughness of poly-SiOx films by etching the grain boundaries selectively. SEM images show that the Si-O bonds are well segregated into the poly-Si grain boundaries after laser crystallization and the surface morphology is remarkably improved after the selective buffered oxide etchant (BOE) etching of the grain boundaries. The electrical conductivity measurement shows that the activation energies of poly-SiOx and poly-Si films have an identical value of 0.52 eV. This may confirm that oxygen-induced defects do not cause any difference in the electrical performance even at the oxygen concentration of 0.18 at.%. Moreover, the electrical mobility and subthreshold slope of poly-SiOx TFTs are considerably improved by employing the proposed method, which smooths the poly-SiOx/SiO2 interface  相似文献   

20.
The beneficial effects of sulfur passivation of gallium arsenide (GaAs) surface by (NH4)2Sx chemical treatment and by hydrogenation of the insulator-GaAs interface using the plasma-enhanced chemical vapor-deposited (PECVD) silicon nitride gate dielectric film as the source of hydrogen are illustrated by fabricating Al/PECVD silicon nitride/n-GaAs MIS capacitors and metal insulator semiconductor field effect transistors (MISFET). Post metallization annealing (PMA) at temperatures in the range 450-550°C is shown to be the key process for achieving midgap interface state density below 10 11/cm2/eV and maximum incremental transconductance, which is about 75% of the theoretical maximum limit. MIS capacitors are fabricated on (NH4)2Sx treated GaAs substrate using gate dielectrics such as PECVD SiO 2 and silicon oxynitride to demonstrate that the PMA is less effective with these dielectrics because of their lower hydrogen content. The small signal AC transconductance, gms measurements on MISFETs fabricated using silicon nitride, have shown that the low-frequency degradation of gms is almost absent in the devices fabricated on (NH4)2Sx-treated GaAs substrates and subjected to PMA. The drain current stability in these devices is demonstrated to be excellent, with an initial drift of only 2% of the starting value. The dual role of silicon nitride layer, namely, protection against loss of sulfur and an excellent source of hydrogen for additional surface passivation along with sulfur is demonstrated by comparing the transconductance of MISFETs fabricated on GaAs substrates annealed without the nitride cap after the (NH4)2S x treatment  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号