首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到17条相似文献,搜索用时 0 毫秒
1.
电感耦合等离子体刻蚀InP端面的掩膜特性研究   总被引:4,自引:1,他引:3  
深入研究了掩膜制作工艺对电感耦合等离子体刻蚀的InP端面的影响。首先比较了光刻胶、SiO2和Si3N4三种材料的掩膜特性,发现掩膜图形的致密性、侧壁粗糙度和垂直度等对刻蚀效果具有至关重要的影响。然后通过优化SF6等离子体刻蚀Si3N4的条件,得到了边缘平整且侧壁垂直的掩膜图形。利用这一掩膜制作技术,获得了深度达7μm的光滑垂直的InP刻蚀端面,选择比达15:1。  相似文献   

2.
Etch characteristics of L10 FePt thin films masked with TiN films were investigated using an inductively coupled plasma (ICP) reactive ion etching in a CH3OH/Ar plasma. As the CH3OH gas was added to Ar, the etch rates of FePt thin films and TiN hard mask gradually decreased, and the etch profile of FePt films improved with high degree of anisotropy. With increasing ICP rf power and dc-bias voltage to substrate and decreasing gas pressure, the etch rate increased and the etch profile becomes vertical without any redepositions or etch residues. Based on the etch characteristics and surface analysis of the films by X-ray photoelectron spectroscopy, it can be concluded that the etch mechanism of FePt thin films in a CH3OH/Ar gas does not follow the reactive ion etch mechanism but the chemically assisted sputter etching mechanism, due to the chemical reaction of FePt film with CH3OH gas.  相似文献   

3.
Gwan-Ha Kim 《Thin solid films》2007,515(12):4955-4959
Magnesium oxide thin film has been widely used as a buffer layer and substrate for growing various thin film materials because of very low Gibbs free energy, low dielectric constant and low refractive index. The investigations of the MgO etching characteristics in BCl3/Ar plasma were carried out using the inductively coupled plasma system. It was found that the increasing BCl3 in the mixing ratio of BCl3/Ar plasma causes monotonic MgO etch rate. The results showed in the BCl3-rich plasma that the etching process is dominantly supplied by the chemical pathway through the ion-assisted chemical reaction.  相似文献   

4.
In this study, we monitored the HfAlO3 etch rate and selectivity to SiO2 as a function of the etch parameters (gas mixing ratio, RF power, DC-bias voltage, and process pressure). A maximum etch rate of 52.6 nm/min was achieved in the 30% BCl3/(BCl3 + Ar) plasma. The etch selectivity of HfAlO3 to SiO2 reached 1.4. As the RF power and the DC-bias voltage increased, the etch rate of the HfAlO3 thin film increased. As the process pressure decreased, the etch rate of the HfAlO3 thin films increased. The chemical state of the etched surfaces was investigated by X-ray Photoelectron Spectroscopy (XPS). According to the results, the etching of HfAlO3 thin films follows the ion-assisted chemical etching mechanism.  相似文献   

5.
We report a comparison of dry etching of polymethyl methacrylate (PMMA) and polycarbonate (PC) in O2 capacitively coupled plasma (CCP) and inductively coupled plasma (ICP). A diffusion pump was used as high vacuum pump in both cases. Experimental variables were process pressure (30-180 mTorr), CCP power (25-150 W) and ICP power (0-350 W). Gas flow rate was fixed at 5 sccm. An optimized process pressure range of 40-60 mTorr was found for the maximum etch rate of PMMA and PC in both CCP and ICP etch modes. ICP etching produced the highest etch rate of 0.9 μm/min for PMMA at 40 mTorr, 100 W CCP and 300 W ICP power, while 100 W CCP only plasma produced 0.46 μm/min for PMMA at the same condition. For polycarbonate, the highest etch rates were 0.45 and 0.27 μm/min, respectively. RMS surface roughnesses of PMMA and PC were about 2-3 nm after etching. Etch selectivity of PMMA over photoresist was 1-2 and that of PC was less than 1. When ICP power increased from 0 to 350 W, etch rates of PMMA and PC increased linearly from 0.47 to 1.18 μm/min and from 0.18 to 0.6 μm/min, while the negative self bias slightly reduced from 364 to 352 V. Increase of CCP power raised both self bias and PMMA etch rate. PMMA etch rates were about 3 times higher than those of PC at the same CCP conditions. SEM data showed that there was some undercutting of PMMA and PC after etching at 300 W ICP, 100 W CCP and 40 mTorr. The results also showed that the etched surface of PMMA was rough and that of PC was relatively smooth.  相似文献   

6.
Xue-Yang 《Thin solid films》2010,518(22):6441-6445
In this study, the etching characteristics of ALD deposited Al2O3 thin film in a BCl3/N2 plasma were investigated. The experiments were performed by comparing the etch rates and the selectivity of Al2O3 over SiO2 as functions of the input plasma parameters, such as the gas mixing ratio, the DC-bias voltage, the RF power, and the process pressure. The maximum etch rate was obtained at 155.8 nm/min under a 15 mTorr process pressure, 700 W of RF power, and a BCl3 (6 sccm)/N2 (14 sccm) plasma. The highest etch selectivity was 1.9. We used X-ray photoelectron spectroscopy (XPS) to investigate the chemical reactions on the etched surface. Auger electron spectroscopy (AES) was used for the elemental analysis of the etched surfaces.  相似文献   

7.
In this work, we investigated the etching characteristics of TiO2 thin films and the selectivity of TiO2 to SiO2 in a BCl3/Ar inductively coupled plasma (ICP) system. The maximum etch rate of 84.68 nm/min was obtained for TiO2 thin films at a gas mixture ratio of BCl3/Ar (25:75%). In addition, etch rates were measured as a function of etching parameters, such as the RF power, DC-bias voltage and process pressure. Using the X-ray photoelectron spectroscopy analysis the accumulation of chemical reaction on the etched surface was investigated. Based on these data, the ion-assisted physical sputtering was proposed as the main etch mechanism for the BCl3-containing plasmas.  相似文献   

8.
An inductively coupled plasma reactive ion etching of IrMn magnetic thin films patterned with Ti hard mask was studied in a CH3OH/Ar gas mix. As the CH3OH concentration increased, the etch rates of IrMn thin films and Ti hard mask decreased, while the etch profiles improved with high degree of anisotropy. The effects of coil rf power, dc-bias voltage to substrate and gas pressure on the etch characteristics were investigated. The etch rate increased and the etch profile improved with increasing coil rf power, dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed that the chemical reaction between IrMn films and CH3OH gas occurred, leading to the clean and good etch profile with high degree of anisotropy of 90°.  相似文献   

9.
H.Y. Jung  H.J. Lee  C.Y. Jeong 《Thin solid films》2009,517(14):3938-3941
Extreme ultraviolet lithography (EUVL) is the most promising candidate for next generation lithography due to its feature size of 32 nm or below. We investigated the etching properties of materials in an alternating, phase-shift mask (PSM) structure for EUVL, including a Ru top capping layer, Mo-Si multilayer (ML) and Ni etch stop layer (ESL), by varying the Cl2/O2 and Cl2/Ar gas flow ratios, and the dc self-bias voltage (Vdc) in inductively coupled plasma (ICP). The Ru layer could be etched effectively in Cl2/O2 plasmas and Mo-Si ML could be etched with an infinitely high etch selectivity over Ni ESL in Cl2/Ar plasmas, even with increasing overetch time.  相似文献   

10.
Inductively coupled plasma reactive ion etching of titanium thin films patterned with a photoresist using Cl2/Ar gas was examined. The etch rates of the titanium thin films increased with increasing the Cl2 concentration but the etch profiles varied. In addition, the effects of the coil rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and gas pressure. The degree of anisotropy in the etched titanium films improved with increasing coil rf power and dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed the formation of titanium compounds during etching, indicating that Ti films etching proceeds by a reactive ion etching mechanism.  相似文献   

11.
GaN thin films were etched by inductively coupled plasma (ICP). The effects of BCl3 and Ar with different Cl2 fraction are studied and compared. The ICP power and RF power are also altered to investigate the different effects by using Cl2/BCl3 or Cl2/Ar as etching gases. The etch rate and surface morphology of the etched surface are characterized by using surface profiler, scanning electron microscopy and atomic force microscopy. The root-mean-square roughness values are systematically compared. It is found that the etch rates of Cl2/Ar are higher than that of the Cl2/BCl3 in the Cl2 fraction ranging from 10 to 90%. When the ICP power is increased, the RMS roughness of GaN surface after ICP etching shows reverse trend between Cl2/BCl3 and Cl2/Ar gas mixture. The results indicate quite different features using Cl2/BCl3 and Cl2/Ar for GaN ICP etcing under the same conditions.  相似文献   

12.
ZnO:Al thin films varying the thickness from 80 to 110 nm were deposited on polished float zone < 100 > Si wafers by radio frequency magnetron sputtering at 100 °C. To texturize these surfaces with the aim of being used as antireflective coating, a wet etching process based on NH4Cl was applied. Taking into account that the layer thickness was small, the control of the etch parameters such as etchant concentration and etching time was evaluated as a function of the textured film properties. An appropriate control of the etching rate to adjust the final thickness to the 80 nm required for the application was realized. Using NH4Cl concentrations of 10 wt.% and short times of up to 25 s, an increase of the film roughness up to a factor of 5.6 of the as-deposited films was achieved. These optimized textured films showed weighted reflectance values below 15% and considerable better electrical properties than the as-deposited 80 nm-thick ZnO:Al films.  相似文献   

13.
Do Young Lee 《Thin solid films》2009,517(14):4047-4051
Inductively coupled plasma reactive ion etching of indium zinc oxide (IZO) thin films masked with a photoresist was performed using a Cl2/Ar gas. The etch rate of the IZO thin films increased as Cl2 gas was added to Ar gas, reaching a maximum at 60% Cl2 and decreasing thereafter. The degree of anisotropy in the etch profile improved with increasing coil rf power and dc-bias voltage. Changes in pressure had little effect on the etch profile. X-ray photoelectron spectroscopy confirmed the formation of InCl3 and ZnCl2 on the etched surface. The surface morphology of the films etched at high Cl2 concentrations was smoother than that of the films etched at low Cl2 concentrations. These results suggest that the dry etching of IZO thin films in a Cl2/Ar gas occurs according to a reactive ion etching mechanism involving ion sputtering and a surface reaction.  相似文献   

14.
To clarify the dielectric properties of BaTiO3 with nanometer size region, it is necessary to fabricate the dense structure composed of BaTiO3 nanoparticles. In the present study, BaTiO3 nanoparticles were directly deposited on Pt/Al2O3/SiO2/Si substrate by introducing Ba(DPM)2 and Ti(OiPr)4 into an inductively coupled plasma (ICP). The optimal condition for preparing dense structure of BaTiO3 nanoparticles was investigated by changing the substrate temperature. Single phase BaTiO3 of perovskite structure was obtained at the substrate temperatures between 773 and 1173 K. The dense structure of BaTiO3 nanoparticles with particle sizes of about 30 nm was successfully obtained at the substrate temperature of 773 K. At the substrate temperature>873 K, the deposited nanoparticles sintered to be the columnar structure. The εr and tan δ of the BaTiO3 nanoparticles were estimated to be 285 and 6.6%, respectively (1 kHz and 100 mV). The phase of the BaTiO3 nanoparticles were found to be paraelectric by the measurement of C-V curves. The breakdown field of the dense structure of BaTiO3 nanoparticles was estimated to be 649 kV/cm according to I-V curves. These features are favorable for applying the structure to the dielectric layer of multilayer capacitors.  相似文献   

15.
A.M Efremov 《Vacuum》2004,75(4):321-329
The effect of the CF4/Ar mixing ratio on the etching behaviour and mechanisms for Pb(Zr,Ti)O3 (PZT) thin films in an inductively coupled plasma was carried out. It was found that an increase of Ar mixing ratio causes non-monotonic behaviour of the PZT etch rate, which reaches a maximum of 2.38 nm/s at 80% Ar. Investigating the plasma parameters, we found a weak sensitivity of both electron temperature and electron density to the change of CF4/Ar mixing ratio. A combination of zero-dimensional plasma model with the model of surface kinetics shows the possibility of a non-monotonic etch rate behaviour due to the concurrence of physical and chemical pathways in the ion-assisted chemical reaction.  相似文献   

16.
D.S. Jang  H.Y. Lee  J.J. Lee 《Thin solid films》2009,517(14):3967-3970
The optical and photocatalytic properties of TiO2 are closely related to crystalline structures, such as rutile and anatase. In this paper, TiO2 films were produced by inductively coupled plasma (ICP) assisted chemical vapor deposition (CVD) without extra heating of the substrate, and the effect of H2 addition on the structure and optical properties of the films was investigated. After increasing the partial pressure of H2, the structure of the TiO2 films changed from anatase to rutile, which usually appears at high temperatures (> 600 °C). The light transmittance decreased with increasing the H2 flow rate due to the increased surface roughness. The photocatalytic activity of the anatase TiO2 film was better than that of the rutile TiO2 film.  相似文献   

17.
Cr2O3 and (Cr,Al)2O3 films were grown using reactive dc and inductively coupled plasma magnetron sputtering at substrate temperatures of 300-450 °C. For pure chromia, α-Cr2O3 films with fiber texture were grown; the out-of-plane texture could be controlled from < 0001> to < 101?4>. The former texture was obtained as a consequence of competitive growth with no applied bias or inductively coupled plasma, while the latter was obtained at moderate bias (− 50 V), probably due to recrystallization driven by ion-bombardment-induced strain. By reactive codeposition of Cr and Al, a corundum-structured metastable solid solution α-(Cr,Al)2O3 with Cr/Al ratios of 2-10 was grown with a dense, fine-grained morphology. Hardness and reduced elastic modulus values were in the ranges 24-27 GPa and 190-230 GPa, respectively.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号