首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Thin films of HfAlO3, a high-k material, were etched using inductively-coupled plasma. The dry etching mechanism of the HfAlO3 thin film was studied by varying the Cl2/Ar gas mixing ratio, RF power, direct current bias voltage, and process pressure. The maximum etch rate of the HfAlO3 thin film was 16.9 nm/min at a C12/(C12 + Ar) ratio of 80%. Our results showed that the highest etch rate of the HfAlO3 thin films was achieved by reactive ion etching using Cl radicals, due to the high volatility of the metal-chlorides. Consequently, the increased chemical effect caused an increase in the etch rate of the HfAlO3 thin film. Surface analysis by x-ray photoelectron spectroscopy showed evidence that Hf, Al and O reacted with Cl and formed nonvolatile metal-oxide compounds and volatile metal-chlorides. This effect may be related to the concurrence of chemical and physical pathways in the ion-assisted chemical reaction.  相似文献   

2.
D.Y. Kim 《Thin solid films》2008,516(11):3512-3516
Under certain conditions during ITO etching using CH4/H2/Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity.  相似文献   

3.
In this study, n-GaN was etched using inductively coupled Cl2/H2 plasmas and the effects of plasma conditions on the etch properties, surface composition and ohmic contact formation were investigated as a function of gas composition using OES (optical emission spectroscopy), SEM (scanning electron microscope), XPS (X-ray photoelectron spectroscopy), AES (Auger electron spectroscopy) and TLM (transmission line method). The addition of hydrogen to Cl2 plasma decreased GaN etch rate and changed the surface composition from Ga-rich to N-rich. Etched profiles were near vertical with a smooth sidewall, however, the pure Cl2 case showed the most anisotropic etch profile. Specific contact resistivity was increased with increasing hydrogen percent in Cl2/H2, however, most of contact resistivities of the contacts fabricated on the GaN etched with Cl2/H2 (≤75% H2) were less than those fabricated on the non-etched GaN.  相似文献   

4.
Inductively coupled plasma reactive ion etching of titanium thin films patterned with a photoresist using Cl2/Ar gas was examined. The etch rates of the titanium thin films increased with increasing the Cl2 concentration but the etch profiles varied. In addition, the effects of the coil rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and gas pressure. The degree of anisotropy in the etched titanium films improved with increasing coil rf power and dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed the formation of titanium compounds during etching, indicating that Ti films etching proceeds by a reactive ion etching mechanism.  相似文献   

5.
Etching characteristics and the mechanism of HfO2 thin films in Cl2/Ar inductively-coupled plasma were investigated. The etch rate of HfO2 was measured as a function of the Cl2/Ar mixing ratio in the range of 0 to 100% Ar at a fixed gas pressure (6 mTorr), input power (700 W), and bias power (300 W). We found that an increase in the Ar mixing ratio resulted in a monotonic decrease in the HfO2 etch rate in the range of 10.3 to 0.7 nm/min while the etch rate of the photoresist increased from 152.1 to 375.0 nm/min for 0 to 100% Ar. To examine the etching mechanism of HfO2 films, we combined plasma diagnostics using Langmuir probes and quadrupole mass spectrometry with global (zero-dimensional) plasma modeling. We found that the HfO2 etching process was not controlled by ion-surface interaction kinetics and formally corresponds to the reaction rate-limited etch regime.  相似文献   

6.
Silicon carbide was etched in a NF3/CH4 inductively coupled plasma. Surface roughness measured by atomic force microscopy was investigated as a function of process parameters. Both etch rate and dc bias were correlated to the surface roughness. To optimize the surface roughness, a 24 full factorial experiment was conducted for 700-900 W source power, 50-150 W bias power, 0.80-1.60 Pa, and 20-100% NF3 percentage. Main effect analysis revealed that the surface roughness is the most strongly affected by the bias power. For variations in the bias power or NF3 percentage, decrease in the surface roughness was observed only as positive variations in the etch rate and dc bias are considerably large. The surface roughness with the pressure was chemically dominated as illustrated by its inverse relationship with the dc bias. For the variations in the NF3 percentage, the radical variation was estimated to play a more dominant role. The smoothest surface roughness of 0.312 nm was obtained at 700 W source power, 150 W bias power, 1.60 Pa pressure, and 100% NF3 percentage.  相似文献   

7.
We have investigated the selective etching of 50 μm diameter via-holes for etch depth >200 μm using 30 μm thick photo resist mask in Inductively Coupled Plasma system with Cl2/BCl3 chemistry. Resultant etch rate/etch profiles are studied as a function of ICP process parameters and photo resist mask sidewall profile. Etch yield and aspect ratio variation with process pressure and substrate bias is also investigated at constant ICP power. The etch yield of ICP process increased with pressure due to reactant limited etch mechanism and reached a maximum of ∼19 for 200 μm depth at 50 mTorr pressure, 950 W coil power, 80 W substrate bias with an etch rate ∼4.9 μm/min. Final aspect ratio of etched holes is increased with pressure from 1.02 at 20 mTorr to 1.38 at 40 mTorr respectively for fixed etch time and then decreased to 1.24 at 50 mTorr pressure. The resultant final etch profile and undercut is found to have a strong dependence on the initial slope of photo resist mask sidewall angle and its selectivity in the pressure range of 20-50mTorr.  相似文献   

8.
In this work, we investigated the etching characteristics of TiO2 thin films and the selectivity of TiO2 to SiO2 in a BCl3/Ar inductively coupled plasma (ICP) system. The maximum etch rate of 84.68 nm/min was obtained for TiO2 thin films at a gas mixture ratio of BCl3/Ar (25:75%). In addition, etch rates were measured as a function of etching parameters, such as the RF power, DC-bias voltage and process pressure. Using the X-ray photoelectron spectroscopy analysis the accumulation of chemical reaction on the etched surface was investigated. Based on these data, the ion-assisted physical sputtering was proposed as the main etch mechanism for the BCl3-containing plasmas.  相似文献   

9.
M.H. Shin  S.H. Jung  N.-E. Lee 《Thin solid films》2007,515(12):4950-4954
Effect of doping elements on the etching characteristics of doped-ZnO (Ag, Li, and Al) thin films, etched with a positive photoresist (PR) mask, and an etch process window for infinite etch selectivity were investigated by varying the CH4 flow ratio and self-bias voltage, Vdc, in inductively coupled CH4/H2/Ar plasmas. Increased doping of ZnO films decreased the etch rates significantly presumably due to lower volatility of reaction by-products of doped Li, Ag, and Al in CH4/H2/Ar plasmas. The etch rate of AZO (Al-doped ZnO) was most significantly decreased as the doping concentration is increased from 4 to 10 wt%. It was found that process window for infinite etch selectivity of the doped ZnO to the PR is closely related to a balance between deposition and removal processes of a-C:H (amorphous hydrogenated carbon) layer on the doped-ZnO surface. Measurements of optical emission of the radical species in the plasma and surface binding states by optical emission spectroscopy (OES) and X-ray photoelectron spectroscopy (XPS), respectively, implied that the chemical reaction of CH radicals with Zn atoms in doped-ZnO play an important role in determining the doped-ZnO etch rate together with an ion-enhanced removal mechanism of a-C:H layer as well as Zn(CHx)y etch by-products.  相似文献   

10.
A.M. Efremov 《Vacuum》2004,75(2):133-142
In this work, we carried out investigations aimed at understanding the effect of gas mixing ratio on plasma parameters, gas phase composition and etch rate in CF4/Ar inductively coupled plasma. For this purpose, a combination of experimental methods and modelling was used. Experiments showed that electron temperature and electron density are not very sensitive to variations of Ar content in CF4/Ar plasma. From a zero-dimensional plasma model, the densities of both neutral and charged particles change monotonically. The analysis of surface kinetics based on an ion-assisted etching mechanism showed the possibility of non-monotonic etch rate behaviour due to a concurrence of chemical and physical etching pathways.  相似文献   

11.
GaN mesa etching is investigated using BCl3/Cl2 based inductively coupled plasma at constant ICP/RF powers for HEMT fabrication. The effect of chamber process pressure (5-15 mTorr) and BCl3/Cl2 flow rate ratio >1 on mesa sidewall profile is studied in detail using less complex photoresist mask. Mesa sidewall sharpness varied strongly with chamber pressure and deteriorated at lower pressure ∼5 mTorr. The etched GaN mesas resulted in severely damaged sidewalls with significant sidewall erosion at BCl3/Cl2 ratio of <1, which reduced gradually as BCl3/Cl2 ratio was increased to values >1 mainly due to decreased Cl ion/neutral scattering at the edges. Finally, the smooth and sharp mesa sidewalls with angle of ∼80° and moderate GaN etch rate of ∼1254 Å/min are obtained at BCl3/Cl2 ratio of 2.5:1 and 10 mTorr pressure due to a better balance between physical and chemical components of ICP etching.  相似文献   

12.
The investigations of the influence of gas pressure and input power on the Cl2 plasma parameters in the inductively coupled plasma system were carried out. The investigations combined plasma diagnostics by Langmuir probe and plasma modeling using the self-consistent global model with Maxwellian approximation for electron energy distribution function. From the experiments, it was found that an increase of gas pressure in the range of 0.27-3.33 Pa at 400-700 W input power results in decreasing both electron temperature (3.3-2.0 eV) and density (6.6 × 1010 − 3.0 × 1010 cm− 3 for 400 W and 1.2 × 1011 − 6.4 × 1010 cm− 3 for 700 W). The model showed an outstanding agreement with the experiments and provided the data on densities and fluxes of active species. These data combined with the model of etch kinetics demonstrated the possibility of different etch rate behaviors depending on the input process parameters as well as on the properties of the etched surface.  相似文献   

13.
We investigated the N2 additive effect on the etch rates of TiN and SiO2 and etch profile of TiN in N2/Cl2/Ar adaptively coupled plasma (ACP). The mixing ratio of Cl2 and Ar was fixed at 75 and 25 sccm, respectively. The N2 flow rate was increased from 0 to 9 sccm under the constant pressure of 10 mTorr. As N2 flow rate was increased in N2/Cl2/Ar plasma, the etch rate of TiN was linearly increased, but that of SiO2 was increased non-monotonically. The etch profile and the compositional changes of TiN was investigated with field emission-scanning electron microscope (FE-SEM), FE-Auger electron spectroscopy (FE-AES) and x-ray photoelectron spectroscopy (XPS). When 9 sccm N2 was added into Cl2/Ar, a steep etch profile and clean surface of TiN was obtained. In addition, the signals of TiN and Ti were disappeared in FE-AES and XPS when N2 additive flow into Cl2/Ar was above 6 sccm. From the experimental data, the increase in TiN etch rate was mainly caused by the increase of desorption and evacuation rate of etch by products because of the increased effective pumping speed. The etch mechanism of TiN in N2/Cl2/Ar ACP plasma can be concluded as the ion enhanced chemical etch.  相似文献   

14.
In this study, we compared the line edge roughnesses (LER) and profile angles of chemical vapor deposited (CVD) amorphous carbon (a-C) patterns etched in an inductively coupled plasma (ICP) etcher produced by varying process parameters such as the N2 gas flow ratio, Q (N2), and dc self-bias voltage (Vdc) in O2/N2/Ar and H2/N2/Ar plasmas. The tendencies of the LER and profile angle values of the etched CVD a-C pattern were similar in both plasmas. The LER was smaller in the O2/N2/Ar than in the H2/N2/Ar plasmas, and the profile angle was larger in the O2/N2/Ar than in the H2/N2/Ar plasmas under the same processes conditions. The use of O2/N2/Ar plasma was more advantageous than the H2/N2/Ar plasma for controlling LER and profile angle.  相似文献   

15.
Do Young Lee 《Thin solid films》2009,517(14):4047-4051
Inductively coupled plasma reactive ion etching of indium zinc oxide (IZO) thin films masked with a photoresist was performed using a Cl2/Ar gas. The etch rate of the IZO thin films increased as Cl2 gas was added to Ar gas, reaching a maximum at 60% Cl2 and decreasing thereafter. The degree of anisotropy in the etch profile improved with increasing coil rf power and dc-bias voltage. Changes in pressure had little effect on the etch profile. X-ray photoelectron spectroscopy confirmed the formation of InCl3 and ZnCl2 on the etched surface. The surface morphology of the films etched at high Cl2 concentrations was smoother than that of the films etched at low Cl2 concentrations. These results suggest that the dry etching of IZO thin films in a Cl2/Ar gas occurs according to a reactive ion etching mechanism involving ion sputtering and a surface reaction.  相似文献   

16.
A.M Efremov 《Vacuum》2004,75(4):321-329
The effect of the CF4/Ar mixing ratio on the etching behaviour and mechanisms for Pb(Zr,Ti)O3 (PZT) thin films in an inductively coupled plasma was carried out. It was found that an increase of Ar mixing ratio causes non-monotonic behaviour of the PZT etch rate, which reaches a maximum of 2.38 nm/s at 80% Ar. Investigating the plasma parameters, we found a weak sensitivity of both electron temperature and electron density to the change of CF4/Ar mixing ratio. A combination of zero-dimensional plasma model with the model of surface kinetics shows the possibility of a non-monotonic etch rate behaviour due to the concurrence of physical and chemical pathways in the ion-assisted chemical reaction.  相似文献   

17.
The effects of CH2F2 and N2 gas flow rates on the etch selectivity of silicon nitride (Si3N4) layers to extreme ultra-violet (EUV) resist and the variation of the line edge roughness (LER) of the EUV resist and Si3N4 pattern were investigated during etching of a Si3N4/EUV resist structure in dual-frequency superimposed CH2F2/N2/Ar capacitive coupled plasmas (DFS-CCP). The flow rates of CH2F2 and N2 gases played a critical role in determining the process window for ultra-high etch selectivity of Si3N4/EUV resist due to disproportionate changes in the degree of polymerization on the Si3N4 and EUV resist surfaces. Increasing the CH2F2 flow rate resulted in a smaller steady state CHxFy thickness on the Si3N4 and, in turn, enhanced the Si3N4 etch rate due to enhanced SiF4 formation, while a CHxFy layer was deposited on the EUV resist surface protecting the resist under certain N2 flow conditions. The LER values of the etched resist tended to increase at higher CH2F2 flow rates compared to the lower CH2F2 flow rates that resulted from the increased degree of polymerization.  相似文献   

18.
The investigation of Al2O3 etch characteristics in the BCl3/Ar inductively coupled plasma was carried out in terms of effects of input process parameters (gas pressure, input power, bias power) on etch rate and etch selectivity over poly-Si and photoresist. It was found that, with the changes in gas pressure and input power, the Al2O3 etch rate follows the behavior of ion current density while the process rate is noticeably contributed by the chemical etch pathway. The influence of input power on the etch threshold may be connected with the concurrence of chemical and physical etch pathways in ion-assisted chemical reaction.  相似文献   

19.
在CF4/Ar的感应耦合等离子体中,用"法拉第筒"式的方法研究了SiO2刻蚀速率与不同离子入射角度之间的关系.在所施加的-20~300V射频偏压范围内,SiO2基片的归一化刻蚀速率(NER)呈现两种情况,当偏压值<100V时,归一化刻蚀速率的大小与基片倾斜角度θ符合余弦曲线规律;当偏压值>100V时,θ在15°~60°范围内,归一化刻蚀速率的大小在大于相应的余弦值,θ>60°时归一化刻蚀速率快速下降,在90°附近SiO2表面出现聚合物沉积.θ<60°时,SiO2的表面刻蚀主要决定于入射离子与基片表面间的能量转换,转换能量的大小深刻地影响着SiO2的刻蚀速率,同时也影响形成于基片表面的碳氟聚合物的去除速率.  相似文献   

20.
Su Ryun Min 《Thin solid films》2008,516(11):3521-3529
The etching characteristics of ZnO thin films were examined in an HBr/Ar gas mix using an inductively coupled plasma reactive ion etching system. The etch rate and etch profile were systematically investigated as a function of gas concentration. In addition, the effects of etch parameters such as coil rf power, dc-bias voltage, and gas pressure were studied. As the HBr concentration increased, the etch rate of the ZnO films gradually decreased while the etch profile was improved. Surface analyses including X-ray photoelectron spectroscopy and atomic force microscopy were employed to elucidate the etch mechanism of ZnO in an HBr/Ar chemistry.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号