首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 203 毫秒
1.
随着芯片复杂度的不断增大,设计一个高效的片上网络容错路由算法面临着巨大的挑战。由于芯片面积开销的限制,拥有低面积开销的无虚通道片上网络路由器受到学术界的广泛关注。但目前对无虚通道片上网络容错路由算法的研究却停留在容错性能上,而忽略了容错路由算法的路由路径过于单一所造成的负载不均、数据包平均延迟较大等问题。文章在借鉴已有的奇偶转向容错路由算法的基础上,对算法的故障模型和故障绕行策略进行优化,并在算法中融入负载均衡策略,以形成新的容错算法缓解上述问题。在9x9的2D mesh网络中对新提出的算法和参考算法的仿真结果表明:与参考算法相比,新算法在降低数据延迟和吞吐量方面有着明显的优势,在最优情况下能减少8.92%数据延迟和增加10.46%的吞吐量。  相似文献   

2.
片上网络(networks-on-chip, NoC)是3维集成电路的主要通信技术之一.其中,路由器是3维片上网络的重要组成部件.现有的面向3维片上网络中路由器的容错技术,通常采取路由器整体冗余技术或者直接舍弃失效路由器的方法,这导致网络资源损失较为严重.提出一种面向3维片上网络的轻量级细粒度容错机制,充分利用故障路由器中仍能正常运行的有效资源,保障系统通信.提出的容错机制包括一种高可靠性路由器微体系结构设计和一种与之匹配的容错路由机制.通过实验对比和分析,相比较于已有的3维片上网络容错机制,提出的细粒度容错机制具备较高的通信性能和可靠性,同时面积和功耗开销较小.  相似文献   

3.
面向存在永久性链接故障的非规则三维片上网络,提出一种低成本自适应可靠路由方法.首先根据非规则三维片上网络的拓扑结构,优先选择一条汉密尔顿路径进行容错路由,在没有汉密尔顿路径的情况下,则执行生成树容错路由算法绕过故障链接;然后将基于动态规划的端口选择机制拓展到三维空间,结合前述路由算法来避开网络冲突区域,完成将数据包由源路由器节点传输至目的路由器节点的路由过程.实验结果表明,与之前的AFRA方法和基于生成树的可靠路由方法相比,该方法具有较高的通信性能和可靠性,同时所需的网络开销较低.  相似文献   

4.
传统的自适应片上网络(NoC)容错路由算法采用一步一比较的方式来确定最优端口, 未能有效降低传输延迟。根据数据包在2D Mesh NoC前若干连续的跳数内最优端口固定的特点, 提出了一种基于报文检测的快速(FPIB)自适应容错路由算法。算法采用跳步比较的方式来减少数据包的路由时间, 并使用模糊优先级策略来进行容错路由计算。实验结果表明, 与uLBDR容错路由算法相比, 该算法能有效地降低平均延迟, 且实现算法的硬件开销更低。  相似文献   

5.
欧阳一鸣  胡春雷  梁华国  谢涛 《计算机工程》2012,38(13):237-239,243
为解决片上网络中故障路由器与IP核的通信问题,设计一种低硬件开销的双端口资源网络接口,在传统2D-mesh结构基础上,通过添加部分链路,将每个IP核连接到2个路由器上,并针对该架构设计相应的容错路由算法。实验结果表明,该方案硬件开销较小、容错能力较强。  相似文献   

6.
适用于2D Mesh片上网络的可重构容错路由算法,在芯片某些区域由于制造缺陷、使用老化等原因拓扑结构变得不再规整的时候,可以对网络节点重新进行配置,从而保证健康节点间的正常通信.基于SystemC的平台仿真表明该算法相对于传统算法可以获得更佳的网络性能.该算法是免于死锁的,同时对其可重构机制也给出了详细的论述.它还具有良好的扩展性,当系统规模增大的时候每个路由器的硬件开销保持恒定,而其容错能力也得到了增强.  相似文献   

7.
基于故障块模型提出了二维mesh上的自适应无死锁容错路由算法。该算法将网络分为两个虚拟网络VIN0和VIN1。消息根据源与目的节点的相对位置判断进入哪一个虚拟网络。消息在没有遇上故障时经由最短路径路由。算法的容错技术是基于故障环和故障链的概念。最后,将该算法与另一个二维mesh上的容错路由算法f-cubc2进行性能比较。  相似文献   

8.
定位技术的快速发展使得获取位置信息的成本越来越低,此外利用位置信息可以有效降低路由开销,因此,基于位置信息的路由算法逐渐成为自组织网络路由算法的热点.在对现有基于位置信息的路由算法进行分析的基础上,对单播路由算法中贪婪转发算法及空洞处理算法进行研究,并对基于位置信息的多播路由算法及地理区域路由算法作了简要概述.  相似文献   

9.
为克服片上网络链路永久性错误带来的路由问题,提出一种基于前缀的片上网络容错源路由算法PFTSR。该算法适用于二维mesh片上网络,采用预测路径并根据反馈信息调整路径的方法进行路由探测。在仿真平台NIRGAM上进行仿真,实验结果表明,与传统片上网络容错源路由算法SRN相比,PFTSR极大降低了片上系统的功耗,并且在大多数情况下能减少探测到第一条路径的时间。  相似文献   

10.
胡哲琨  杨升春  陈杰 《计算机应用》2016,36(5):1201-1205
为了减小路由表的规模且避免使用较多虚通道(VC),从而降低硬件资源用量,针对虫孔交换的2D Mesh片上网络提出了一种分区容错路由(RFTR)算法。该算法根据故障节点和链路的位置将2D Mesh网络划分为若干个相连的矩形区域,数据包在矩形区域内可使用确定性或自适应路由算法进行路由,而在区域间则按照up*/down*算法确定路由路径。此外,利用通道依赖图(CDG)模型,证明了该算法仅需两个虚通道就能避免死锁。在6×6 Mesh网络中,RFTR算法能减少25%的路由表资源用量。仿真结果表明,在队列缓存资源相同的情况下,RFTR算法能实现与up*/down*算法和segment算法相当甚至更优的性能。  相似文献   

11.
A novel 3D NoC architecture based on De Bruijn graph   总被引:1,自引:0,他引:1  
Networks on Chip (NoC) and 3-Dimensional Integrated Circuits (3D IC) have been proposed as the solutions to the ever-growing communication problem in System on Chip (SoC). Most of contemporary 3D architectures are based on Mesh topology, which fails to achieve small latency and power consumption due to its inherent large network diameter. Moreover, the conventional XY routing lacks the ability of fault tolerance. In this paper, we propose a new 3D NoC architecture, which adopts De Bruijn graph as the topology in physical horizontal planes by leveraging its advantage of small latency, simple routing, low power, and great scalability. We employ an enhanced pillar structure for vertical interconnection. We design two shifting based routing algorithms to meet separate performance requirements in latency and computing complexity. Also, we use fault tolerant routing to guarantee reliable data transmission. Our simulation results show that the proposed 3D NoC architecture achieves better network performance and power efficiency than 3D Mesh and XNoTs topologies.  相似文献   

12.
超立方体多处理机系统中基于扩展最优通路矩阵的容错路由   总被引:10,自引:1,他引:10  
该文在高峰等文章的基础上,提出了针对超立方体结构多处理机系统的扩展最优通路矩阵(Extended Optimal Path Matrices,EOPMs)的概念,并给出了一个建立EIPMs的算法和基于EOPMs的容错路由算法,证明了基于EOPMs的容错路由算法是基于扩展安全向量(ESVs)^[13]和基于最优通路矩阵(OPMs)^[14]容错路由算法的扩展,与原文相比,该算法的存储开销与OPMs,相同,但记录的最优通路的信息,包含了原文所记录的最优通路的信息,使搜索最优通路的能力比它们有进一步的提高。  相似文献   

13.
基于裂痕故障块的二维网格自适应容错路由算法是一种有效的容错算法,不仅能够解决活锁问题,而且克服了传统故障块模型中状态良好的节点不能参与路由的缺陷,但同时具有明显的缺点:每次路由到以故障块边界节点为根节点的内部树时,都需要遍历此内部树,因此算法的路由长度并不是最短的。针对上述问题,提出基于裂痕故障块的自适应容错路由表算法,其中路由表由裂痕故障块内部树上的节点创建,通过路由表上保留的有用消息决定是否遍历内部树。实验结果证明,随着网格规模的扩大,该算法最大可减少70%的平均路由长度,并且其实现简单,可以有效地延长网络寿命。  相似文献   

14.
Existing routing algorithms for 3D deal with regular mesh/torus 3D topologies. Today 3D NoCs are quite irregular, especially those with heterogeneous layers. In this paper, we present a routing algorithm targeting 3D networks-on-chip (NoCs) with incomplete sets of vertical links between adjacent layers. The routing algorithm tolerates multiple link and node failures, in the case of absence of NoC partitioning. In addition, it deals with congestion. The routing algorithm for 3D NoCs preserves the deadlock-free propriety of the chosen 2D routing algorithms. It is also scalable and supports a local reconfiguration that complements the reconfiguration of the 2D routing algorithms in case of failures of nodes or links. The algorithm incurs a small overhead in terms of exchanged messages for reconfiguration and does not introduce significant additional complexity in the routers. Theoretical analysis of the 3D routing algorithm is provided and validated by simulations for different traffic loads and failure rates.  相似文献   

15.
李天南  薛广涛 《计算机工程》2011,37(21):80-82,85
为提高车辆容迟网络的吞吐率,将一对节点之间的数据传输过程视为最大流问题,提出基于最大流的车辆容迟网络路由算法。容迟网络中的最大流问题被转化为静态网络中的问题,从而可用最大流方案进行求解。实验结果证明,该算法的预测准确率高于传统算法,附带的额外开销较小。  相似文献   

16.
三维无线传感器网络贪婪地理路由协议   总被引:1,自引:0,他引:1  
针对已有的三维无线传感器网络路由协议均将三维问题转换到二维平面上解决,没有充分利用三维空间的特点,提出了一种基于地理信息的三维无线传感器网络贪婪地理路由(GGR)协议。该协议在通常状况下采用贪婪转发算法,针对基于地理信息的贪婪算法中易出现的路由空洞问题,给出一种三维滚动球边界遍历算法。实验结果表明:该协议具有较高的路由成功率,路由跳数也得到优化,尤其是在节点密度较低的情况下体现出较强的优势。  相似文献   

17.
与确定性路由算法相比,自适应路由算法可以提高片上网络的通信性能,但是报文可能会无序到达。在目的节点对报文排序将会导致严重的面积和计算开销,甚至可能会抵消采用自适应路由算法带来的性能增益。为此,本文首先提出一种部分自适应路由算法,以满足报文的有序到达。然后,描述了对本文算法提供支持的路由器硬件结构。最后,在二维片上网络下对本文算法及确定性和自适应路由算法进行了性能评估和比较。与XY算法相比,本文算法显著降低了报文延时,提升了饱和点。同时讨论了对路由器面积和功耗影响。虽然路由器的功耗有所上升,但是由于报文交付性能提升,因此每个flit的能耗增长可忽略不计。  相似文献   

18.
为了研究交换超立方体网络容错路由问题,引入了相邻结点集合类的概念,提出了相邻结点集的求解公式。对于满足任意子连通性条件的交换超立方体网络,给出了基于相邻结点集合类的自适应容错路由算法及算法的步长上界。仿真实验结果表明算法是有效的。  相似文献   

19.
段新明  武继刚  张大坤 《计算机科学》2012,39(2):115-117,153
在应用于大规模并行计算机的互连网络的设计中,容错问题是其中的一个关键问题和难点问题。提出了一种基于Torus虫孔交换网络的容错路由算法,这一算法使用了矩形故障模型,无论故障区域大小多少和如何分布,算法始终是无死锁的,而且具有足够的自适应性,只要故障节点没有断开网络的连接,算法就能够通过选路使消息绕过故障区域,保持路由的连通性。同时,算法仅需要使用3个额外的虚拟通道。最后算法在不同故障率的Torus网络中进行了仿真实验,结果显示这一算法具有良好的平滑降级使用的特性。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号