首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
In this paper, new porous spin-on dielectric (HL02™, trademark of the LG Ltd.) was studied. The characterizations, such as thermal stability, chemical structure, dielectric constant (k) and mechanical properties (hardness and modulus), of methylsilsesquioxane (MSQ)-based dielectrics were evaluated. An optimized material (k = 2.25), characterized by a hardness and a modulus of 1.0 GPa and 6.5 GPa each in association with a porosity of 30% and a mean pore radius of 2.2 nm, was successfully integrated in damascene process with 10 levels of Cu/low-k film for 65 nm technology and beyond. Good electrical results were obtained in metal line resistance and leakage current.  相似文献   

2.
With semiconductor technologies continuously pushing the miniaturization limits, there is a growing interest in developing novel low dielectric constant materials to replace the traditional dense SiO2 insulators. In order to survive the multi-level integration process and provide reliable material and structure for the desired integrated circuits (IC) functions, the new low-k materials have to be mechanically strong and stable. Therefore the material selection and mechanical characterization are vital for the successful development of next generation low-k dielectrics. A new class of low-k materials, nanoporous pure-silica zeolite, is prepared in thin films using IC compatible spin coating process and characterized using depth sensing nanoindentation technique. The elastic modulus of the zeolite thin films is found to be significantly higher than that of other low-k materials with similar porosity and dielectric constants. Correlations between the mechanical, microstructural and electrical properties of the thin films are discussed in detail.  相似文献   

3.
In this paper, the line-to-line parasitic capacitance of an advanced interconnects with a low-k dielectric (k < 3.0) was extracted by electrical measurement on comb-serpentine structures with various spacing. The empirical values are higher than the prediction from the filed solver, especially in the small geometries. A model was derived based on the damage of low-k dielectric during processing, which causes the increase of the dielectric constant. Then, the effective dielectric constant was evaluated by both simulation and theoretical models. The k value of damage zone was determined from blanket wafer by mercury probe after oxygen plasma treatment. Good agreement was obtained after we modified the simulation structure to include the damage zone. Especially, the concept of low-k damage due to plasma treatment was characterized for the first time. Thus, it is possible to use this model in the future study, such as the porous low-k in 65 nm or even 45 nm generations.  相似文献   

4.
Two structures of low dielectric constant (low-k) SiOC films were elucidated in this work. Low-k thin film by remote plasma mode was mainly composed of inorganic Si-O-Si backbone bonds and some oxygen atoms are partially substituted by CH3, which lowers k value. The host matrix of low-k thin films deposited by direct plasma mode, however, was mainly composed of organic C-C bonds and “M” and “D” moieties of organosilicate building blocks, and thus the low dipole and ionic polarizabilities were the important factors on lowering k value.  相似文献   

5.
In this study a low-k material, methyl-silsesquiazane (MSZ) has been investigated as a passivation dielectric layer for thin-film transistor (TFT) arrays. Compared with the conventional nitride film (k ∼ 7), the MSZ passivation layer exhibits a low residual stress and low dielectric constant (k ∼ 2.6) which lowers the RC delay in a device. The high transmittance and good planarization characteristics of a low-k MSZ film enhance the brightness and aperture ratio of thin-film transistors liquid crystal displays (TFT-LCDs).  相似文献   

6.
Atomic hydrogen generated by a heated tungsten catalyzer has been investigated in terms of the damage-less ash and restoration of damaged low-k dielectric. No difference of damaged thickness of low-k dielectric between before and after the ash by HF dip using patterned porous methyl silsesquioxane (MSQ) film was found. Moreover atomic hydrogen exposure slightly reduced capacitance of the micro-structured capacitor with the Cu wire and the CVD porous low-k dielectric.  相似文献   

7.
The optical properties of low dielectric constant (low-k) films have been determined by variable angle spectroscopic ellipsometry in the range from 2 eV to 9 eV to characterize the process of porogen removal during the UV-cure. The studied carbon doped oxide (SiCOH) porous dielectric films have been prepared by plasma enhanced chemical vapor deposition. The films have been deposited as a composition of a matrix precursor and an organic porogen. After deposition, the films have been cured by thermal annealing and UV irradiation (λ = 172 nm) to remove the porogen and create a porosity of 33%, reaching a dielectric constant of 2.3. The process of porogen decomposition and removal has been studied on series of low-k samples, UV-cured for various times. Additional samples have been prepared by the deposition and curing of the porogen film, without SiCOH matrix, and the matrix material itself, without porogen. The analysis of the optical response of the porous dielectric as a mixture of matrix material, porogen and voids, together with Fourier transform infrared analysis, allows the sensitive detection of the volume of the porogen and indicates the existence of decomposed porogen residues inside the pores, even for long curing time. The variation of the deposition and curing conditions can control the amount of the porogen residues and the final porosity.  相似文献   

8.
The dielectric property of anisotropic conductive film (ACF) as an interconnect materials in the flip–chip joints is becoming important concern for device packaging solution at high-frequency due to low parasitic effect on the signal transfer. The effects of non-conductive, dielectric filler content on dielectric properties of ACA materials, like dielectric constant, loss factor and loss tangent, and conductivity at high-frequency were investigated. Frequency is dominating factor in determining dielectric constant, loss factor, and conductivity. However, the filler content is dominant only on dielectric constant, not on the loss factor, and conductivity at low-frequency range. The effect of low dielectric constant (low-k) filler addition on high-frequency behavior of ACF interconnection in flip–chip assembly was also investigated. Impedance parameters of low-k ACF with Ni filler and low-k SiO2 filler extracted from measurement were compared with that of conventional ACF with only Ni filler. The resonant frequency of conventional ACF flip–chip interconnect was 13 GHz, while the resonant frequency of low-k ACF including low-k SiO2 filler was found at 15 GHz. This difference is originated from capacitance decrease of polymer matrix between bump and substrate pad due to change in dielectric constant of polymer matrix, which was verified by measurement-based modeling. The high-frequency property of the conductive adhesive flip–chip joint, such as resonant frequency can be enhanced by low-k polymer matrix.  相似文献   

9.
Porous silica films with ultra low-k (below 2) and low leakage current densities (10− 8 A/cm2 or lower at an electric field of 1.8 MV/cm) were prepared by the surfactant-template method. Hexamethyldisilazane (HMDS), a surface modification agent, was utilized to yield hydrophobic groups on the surface of porous silica film to prevent the absorption of moisture. It effectively retained the low permittivity properties of the films. Thermal treatment at high temperature (> 350 °C) destroyed surface hydrophobic groups and generated hydrophilic groups (Si-OH), which replaced the surface Si(CH3)3 groups, and resulted in the absorption of moisture. However, Si-OH not only resulted in the absorption of moisture but also initiated the formation of trimethylsilyl groups on the surface by HMDS. When the damaged film is repaired by HMDS again, the k value falls to its initial value (which may be below 1.6). A denser hydrophobic low-k film is formed and the electrical properties are improved.  相似文献   

10.
We report dielectric and structural properties of Ti and Er co-doped HfO2 (HfTiErOx) thin films at different substrate temperatures. The film at 400 °C substrate temperatures has the highest k value of 33, improved flat band voltage of −0.3 V, small hysteresis voltage and the significant interface-state density, which shows better dielectric properties for new high-k microstructure. XPS and XRD results reveal that Hf-Ti-Er-O bond may exist in addition with Hf-O, Hf-Er-O and Hf-Ti-O bonds, while the change in chemical structure and degradation of crystallization quality of HfO2 thin films are directly related to Ti and Er co-doping.  相似文献   

11.
Vapor phase treatment with tetraethyl orthosilicate (TEOS) is used to improve the performance of methylated mesoporous silica films spin-coated on silicon wafers. Subsequent calcination leads to formation of ultra low dielectric-constant (k) films with high hydrophobicity and structural stability. The k value of the films is about 1.75, and remains as low as 1.82 in an 80%-relative-humidity environment over seven days. Mechanical strength (elastic modulus and hardness) is high enough to withstand the stresses that occur during the chemical mechanical polishing and wire bonding process (E = 10.9 GPa). Effects of the methyl group and TEOS vapor treatment on the structural stability and hydrophobicity are systematically studied.  相似文献   

12.
A set of SiCOH low dielectric constant films (low-k) has been deposited by plasma enhanced chemical vapor deposition using variable flow rates of the porogen (sacrificial phase) and matrix precursors. During the deposition, two different substrate temperatures and radio frequency power settings were applied. Next, the deposited films were cured by the UV assisted annealing (UV-cure) using two industrial UV light sources: a monochromatic UV source with intensity maximum at λ = 172 nm (lamp A) and a broadband UV source with intensity spectrum distributed below 200 nm (lamp B). This set of various low-k films has been additionally exposed to NH3 plasma (used for the CuOx reduction during Cu/low-k integration) in order to evaluate the effect of the film preparation conditions on the plasma damage resistance of low-k material. Results show that the choice of the UV-curing light source has significant impact on the chemical composition of the low-k material and modifies the porogen removal efficiency and subsequently the material porosity. The 172 nm photons from lamp A induce greater changes to most of the evaluated properties, particularly causing undesired removal of SiCH3 groups and their replacement with SiH. The softer broadband radiation from lamp B improves the porogen removal efficiency, leaving less porogen residues detected by spectroscopic ellipsometry in UV range. Furthermore, it was found that the degree of bulk hydrophilization (plasma damage) after NH3 plasma exposure is driven mainly by the film porosity.  相似文献   

13.
To evaluate potential solutions for reducing the damage to ultra low-k dielectrics during photoresist stripping in advanced interconnect technology, we have investigated the mechanisms of interactions between remote H2, D2 and N2 discharges and porous organo-silicate materials. Extended sub-surface modifications take place in high carbon-content organo-silicates, whereas silica-rich dielectrics show negligible chemical damages during the same treatments. The nature of plasma/dielectric interactions depends primarily on the organic fraction of the ULK material. Methyl groups in silica-rich organo-silicates withstand the interaction with the plasma species. Conversely, large organic compounds in carbon-rich dielectrics experience cleavage reactions leading to volatile hydrocarbon formation and compositional changes. For conditions where stripping-induced damage is introduced, the effects scale with the substrate temperature in the range 200 °C-300 °C. The permeation of the ULK material by remote plasma species depends on its porosity.  相似文献   

14.
In this paper, CO chemistry-based ash processes have been suggested to reduce carbon depletion and moisture absorption from plasma discharges for low-k/Cu interconnection in 40 nm-node Flash memory. We analyzed ash processes utilizing Fourier transform infrared spectroscopy (FTIR), k-value measurements, and sidewall-shrinking profile measurements based on a cross-sectional scanning electron microscope (SEM) image obtained before and after filling trench with Cu. In an effort to better understand the role of ash processes in ultra-narrow capacitors, we also evaluated the distribution of breakdown voltages as a function of voltage for trench-patterned wafers. In this paper, we successfully found that low-damage ash processes for low-k/Cu interconnection by adopting CO chemistry-based ash process.  相似文献   

15.
This article investigates mechanical characteristics of Fe-based metallic glass coatings. A series of the coatings were fabricated by conventional wire-arc spray process. The microstructure of the coating was characterized by means of X-ray diffraction, scanning election microscopy equipped with energy dispersive X-ray analysis, transmission electron microscopy, and differential scanning calorimeter. The coating is very dense smooth, adhering well and with no cracking. The microstructure of the coating consists of amorphous phase and α(Fe,Cr) nanocrystalline phase. The nanocrystalline grains with a size of 30 to 60 nm are homogenously dispersed in the amorphous phase matrix. The crystallization temperature of the amorphous phase is about 545 °C. The mechanical properties, such as porosity, adhesive strength, microhardness, elastic modulus, and abrasive wear resistance, were analyzed in detail. The experimental results indicate that the coating has high microhardness (15.74 GPa), high elastic modulus (216.97 GPa), and low porosity (1.7%). The average adhesive strength value of the coating is 53.6 MPa. The relationship between abrasive wear behavior and structure of the coating is discussed. The relatively wear resistance of metallic glass coating is about 7 and 2.3 times higher than that of AISI 1045 steel and 3Cr13 martensite stainless steel coating, respectively. The main failure mechanism of metallic glass coating is brittle failure and fracture. The Fe-based metallic glass coating has excellent wear resistance.  相似文献   

16.
S.K. Singh 《Thin solid films》2008,516(5):785-788
Hydrogenated amorphous silicon carbon (a-SiC:H) ultra thin films obtained by Hot wire chemical vapor deposition (HWCVD) have been shown to act as efficient diffusion barriers for copper on inter metal dielectric (IMD) layers which are of great significance for ultra-large scale integration (ULSI) circuits. In this work, we have studied the influence of the a-SiC:H barrier layer obtained by HWCVD which has implications towards issues related to the resistance to electromigration of Cu in the low dielectric (low-k) hydrogen silsesquioxane (HSQ) film. The presence of the ultra thin a-SiC:H film maintains the integrity of the Cu metal not only by suppressing Cu diffusion but also by increasing its crystallinity, which would have implications with respect to the mean time to failure (MTF) arising from metal electromigration. Though, we demonstrate this aspect on the low-k (HSQ)/Cu system, this should yield similar benefits for other low-k dielectric materials too.  相似文献   

17.
SiCOH low-k (k = 2.8) film etched in fluorocarbon (CF4 and CHF3) inductively coupled plasmas was characterized in this work. The surface composition and molecular structures of the low-k films after etching in the CF4, CHF3, CF4/Ar, and CHF3/Ar plasmas were characterized. A higher etch rate was observed with the CF4 plasmas than with the CHF3 plasmas. The etch rate of the low-k film in the CF4 plasmas was decreased and the etch rate in the CHF3 plasmas was increased by the Ar addition. After etching the low-k films, a decrease in the dielectric constant of up to 0.19 was observed. The thickness of the fluorocarbon (CFx) layer and CFx (x = 1, 2, 3)-to-carbon ratio obtained from the XPS C 1s peak increased with decreasing etch rate. The k-value was correlated with amount of Si-CH3 and Si-O related groups determined from the Fourier transform infrared (FT-IR) spectrum. The Si-O related peaks were markedly decreased after etching in the CF4 and CF4/Ar plasmas. The lower k-value was attributed to the increase of the Si-CH3/Si-O ratio after etching low-k film.  相似文献   

18.
In order to prepare a structural/functional material with not only higher mechanical properties but also lower dielectric constant and dielectric loss, a novel process combining oxidation-bonding with sol–gel infiltration-sintering was developed to fabricate a porous Si3N4–SiO2 composite ceramic. By choosing 1250 °C as the oxidation-bonding temperature, the crystallization of oxidation-derived silica was prevented. Sol–gel infiltration and sintering process resulted in an increase of density and the formation of well-distributed micro-pores with both uniform pore size and smooth pore wall, which made the porous Si3N4–SiO2 composite ceramic show both good mechanical and dielectric properties. The ceramic with a porosity of 23.9% attained a flexural strength of 120 MPa, a Vickers hardness of 4.1 GPa, a fracture toughness of 1.4 MPa m1/2, and a dielectric constant of 3.80 with a dielectric loss of 3.11 × 10−3 at a resonant frequency of 14 GHz.  相似文献   

19.
In microelectronics industry, integration of the low dielectric constant (low-k) material films is a continuing issue due to the decreasing device feature size. To improve electric properties, various post-deposition treatments of the low-k material films can be used. In this work, we used room temperature treatment of He/H2 plasma and investigated the effects of plasma treatment on the electrical properties of low-k SiOCH films. Plasma treatment time changed from 300 to 1800 s. After treatment, the dielectric constant was decreased from 2.9 to 2.48, and the thickness of the low-k SiCOH films changed by only ~5%. The leakage current densities of the low-k SiCOH films were decreased to ~10?11 A/cm2, with treatment time ≥600 s. The breakdown occurred only around 2 V for films plasma-treated for 600 and 900 s. However, for 1800 s treatment time, the breakdown voltage was enhanced dramatically and breakdown occurred at applied voltage higher than 40 V. The surface composition change of the films after treatment was investigated by X-ray photoelectron spectroscopy (XPS). As the plasma treatment time was increased, the intensities of CC/CH and CSi peaks were decreased while the intensities of SiO and CO peaks were increased. It is thought that increase of oxygen content of the SiCOH film, after plasma treatment, contributed to leakage current reduction and breakdown voltage increase.  相似文献   

20.
Low dielectric constant (low k) carbon-doped silicon oxide (CDO) films are obtained by plasma-enhanced chemical vapor deposition. The k value of the as-deposited CDO film is less than 2.9. However, the k value may be changed during the integration process. In integration process, photoresist removal is commonly implemented with oxygen plasma ashing or by wet chemical stripping. In this work, the impact of oxygen plasma treatment has been investigated on the quality of the low-k CDO films. Different plasma treatment conditions, including variable pressure, r.f. power, and treatment time were employed. A variety of techniques, including X-ray photoelectron spectroscopy (XPS), Fourier transform infrared (FTIR) spectroscopy, time-of-flight secondary ion mass spectrometry (TOF-SIMS), atomic force microscopy (AFM), and scanning electron microscope (SEM) were used to analyze the effect of the oxygen plasma post-treatment on the low-k CDO films. The result indicates that oxygen plasma will damage the CDO film by removing the entire carbon content in the upper part of the film with increasing treatment time, which results in an increase in the k value and film thickness loss. Our result also confirms that with low r.f. power and low pressure, the damage will be less.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号