首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 62 毫秒
1.
以89S52单片机和EP1C6Q240C8型FPGA为控制核心的多功能计数器,是由峰值检波、A/D转换、程控放大、比较整形、移相网络部分组成,可实现测量正弦信号的频率、周期和相位差的功能。多功能计数器采用等精度的测量方法,可实现频率为1Hz-10MHz、幅度为0.01~5Vrms的正弦信号的精确测频,以及频率为10Hz-100kHz、幅度为0.5~5Vrms的正弦信号精确测相。液晶显示器能够实时显示当前信号的频率、周期和相位差。该多功能计数器精度高,界面友好,实用性强。  相似文献   

2.
该系统由单片机89S52控制模块,程控宽带放大模块,整形模块,FPGA内频率、相位差测量模块等构成,采用等精度测频法测出频率和周期.可测量有效值为0.01~5 V,频率范围1 Hz~20 MHz信号的频率、周期信号,精度高达10-6.采用计数法测量相位差,该系统可测量有效值0.5~5 V,频率10 Hz~100 kHz信号的相位差,精度为1°.系统功能由按键控制,测量结果奕时显示,人机界面友好.  相似文献   

3.
该系统由单片机89S52控制模块,程控宽带放大模块,整形模块,FPGA内频率、相位差测量模块等构成,采用等精度测频法测出频率和周期,可测量有效值为0.01~5 V,频率范围1 Hz~20 MHz信号的频率、周期信号,精度高达10-6。采用计数法测量相位差,该系统可测量有效值0.5~5 V,频率10 Hz~100 kHz信号的相位差,精度为1°。系统功能由按键控制,测量结果实时显示,人机界面友好。  相似文献   

4.
穆晨晨  胡伟东 《微波学报》2012,28(S1):437-439
本文介绍了等精度测频原理,并对其进行了误差分析。同时提出了一种基于FPGA 的等精度测频原理的频率计的实现方案。等精度测频可以实现对大动态范围频率信号的高精度测量。  相似文献   

5.
基于FPGA的频率周期及相位差测量的多功能计数器的实现   总被引:3,自引:2,他引:1  
本文介绍了一种基于FPGA的多功能计数器,该计数器包括数字式频率周期测试仪、数字式相位测试仪两部分。数字式频率周期测试仪,通过FPGA实现频率周期的精确测量,结合单片机进行乘除法运算并显示;数字式相位测试仪通过FPGA实现对两路处理过的信号的等精度测量,结合单片机进行乘除法运算并显示。本多功能计数器模块化程度好、集成度高,具有友好人机交互界面且易于外部功能的扩展。  相似文献   

6.
李卫兵  李道通  胡波  王彩凤 《电子设计工程》2012,20(20):164-167,170
基于Altera公司FPGA芯片EP2C8Q208,嵌入MC8051 IP Core,用C语言对MC8051 IP Core进行编程,以其作为控制核心,实现系统控制。在FPGA芯片中,利用Verilog HDL语言进行编程,设计了以MC8051 IP Core为核心的控制模块、计数模块、锁存模块和LCD显示模块等几部分,实现了频率的自动测量,测量范围为0.1Hz~50MHz,测量误差0.01%。并实现测频率、周期、占空比等功能。  相似文献   

7.
本文设计了一种以超低功耗单片机MSP430F149为控制器,以高速的FPGA实现等精度测量正弦信号的频率、周期和相位差的多功能计数器.在设计中依据等精度计数原理,应用单片机的数学运算和控制功能,利用f=1/T实现了频率和周期的统一处理;采用相位-时间转换方法,根据??=f f N=/0××360?完成了相位差测量.此外,利用外加模拟通道,实现了对正弦波小信号的预处理,使得该计数器能够在较宽的频率范围和幅度范围内进行测量.  相似文献   

8.
孙显 《电子测试》2022,(7):15-17
计数器在生活中较为常见,传统的计数器计算方法单一,无法完成多功能检测,也无法满足工业测量要求。设计具有测量频率、周期及相位差的计数器,有利于满足工业测算需求,可以在物流领域及产品生产领域等应用。在设计过程中,对计数器、系统软硬件进行分析,信号处理幅度保持在合理范围,对矩形波分析后,输送到计数器中,获得的数据在显示屏中显示。本设计的计数器误差小,满足精度要求。  相似文献   

9.
吴雯倩 《电子世界》2014,(8):197-197
基于传统测频原理的频率计的精度将随着被测信号频率的下降而降低,在实用中有较大的局限性。在雷达、线电接收机等信号处理中,为了准确测量和定位,对计数器的计数精度有极高的要求。而在测频时为了保证对不同频率的输入信号都能进行精确测量,还需要采用等精度测量。等精度频率计不但具有较高的测量精度,而在整个频率区域内精度保持恒定。当输入信号为正弦波、方波、三角波等周期信号时,我们可以利用等精度原理,设计智能通用计数器并以此来测量信号的频率。  相似文献   

10.
张小义 《电子测试》2007,(4):42-44,27
针对传统计数测频电路的因随机误差和系统误差较大,导致测频不准.本文提出了利用8031单片机来实现等精度的数字测频,具有测频准确、功能扩展易等特点.  相似文献   

11.
孙立辉  王海 《现代电子技术》2016,(6):140-142,146
设计的多功能LED点阵显示屏由上位机和下位机两部分组成。上位机利用LabVIEW从计算机的汉字库中提取汉字字模并转化为十六进制字模数据,并在Lab VIEW中进行图像扫描得到相应汉字的字模,经串口传给下位机以驱动LED点阵显示屏显示相应的汉字;下位机的硬件部分由时钟电路、LED点阵屏显示电路、温度采集电路以及主控制器等部分组成,能够实现汉字、温度和时间的显示。该系统具有修改显示内容方便,显示稳定,亮度清晰等特点,因此具有很高的应用价值。  相似文献   

12.
根据等精度测量原理,设计了一种基于FPGA和单片机的等精度频率计。系统主要包括信号预处理电路、单片机控制电路、FPGA测频电路和显示电路等。被测频率信号和标准频率信号经过整形放大处理后输入FPGA.单片机控制FPGA对两路信号进行计数并读取测频数据,单片机将读取的测频数据经过运算处理后显示。测试结果表明,该频率计实现了整个频率测量范围内的测量精度相等,测量精度高,稳定性好。  相似文献   

13.
文章设计的多功能信号发生器以FPGA芯片为系统核心,利用单片机进行系统控制,采用液晶屏作为显示界面,设计的信号发生器可以产生正弦波、三角波、矩形波及任意波形等信号,并且信号具有精度高、频带宽、稳定度高等特点。  相似文献   

14.
基于FPGA的PWM与定时计数器IP核的设计   总被引:2,自引:1,他引:1  
基于硬核嵌入式CPU中的PWM/定时计数器模块与FPGA的广泛应用,本文提出了一种软件式的PWM/定时计数器数字逻辑电路的设计思想。用硬件描述语言HDL编写总线接口、功能逻辑与外部I/O电路,并描述了硬件驱动程序的设计过程。对其结果进行了软件仿真并定制到NIOSII中进行调试,实验结果证明,该设计具有很好的实际效果。  相似文献   

15.
16.
基于FPGA和51单片机信号发生器设计与实现   总被引:1,自引:1,他引:0  
刘灿  代永红  肖伟  梅卫龙 《电子设计工程》2012,20(4):186-188,192
为了降低传统函数信号发生器成本,改善函数信号发生器低频稳定性,本文结合FPGA和51单片机设计并实现了产生以0.596Hz频率精度各种函数信号。函数信号频率、波形、幅度由51单片机控制,并用LCD显示函数信号相关信息。本文设计的信号发生器易维护、可以软件升级,从而得到更高频率精度的函数信号满足不同场合设计的需要。  相似文献   

17.
电子设计自动化(EDA)是以计算机为操作平台、以硬件描述语言为设计语言、以可编程器件为实验栽体进行必要的元件建模和系统仿真的电子产品自动化设计过程.运用EDA技术进行电子系统的设计,有着设计快速、调试方便、研制周期短、系统可靠性高等优点,EDA技术是现代电子设计的发展趋势,介绍了基于EDA技术设计多功能计时器的一种方案.设计中采用了自上而下的方法,给出了多功能计时器的结构图和部分仿真结果,结果表明该系统的设计方案正确.  相似文献   

18.
基于集成计数器的N进制计数器设计与仿真   总被引:1,自引:0,他引:1  
计数器是一种重要的时序逻辑电路,广泛应用于各类数字系统中。介绍以集成计数器74LS161和74LS160为基础,用归零法设计N进制计数器的原理与步骤。用此方法设计了3种36进制计数器,并用Multisim10软件进行仿真。计算机仿真结果表明设计的计数器实现了36进制计数的功能。基于集成计数器的N进制计数器设计方法简单、可行,运用Multisim 10进行电子电路设计和仿真具有省时、低成本、高效率的优越性。  相似文献   

19.
针对目前机器人技术普遍存在的成本高、功耗大、实时性差的缺点,采用性能高、成本低、功耗低的S3C2440芯片作为核心处理器,移植实时性较高的Linux操作系统,以增强系统的实时性和可靠性,实现机器人的精确实时控制.并通过设计视频采集模块、语音识别模块、无线传输模块等功能模块,使系统具有实时监控、语音控制、无线传输等功能.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号