首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
An ultraviolet light excitation photo CVD system for silicon nitride film deposition, in which the use of mercury photo-sensitizer and the undesirable wall deposition onto the optical window inside are eliminated, has been developed. The elimination of the use of mercury sensitizer is achieved by employing direct photolysis of SiH4/NH3 gas mixture, using 185 nm light emitted from a low pressure mercury lamp. The wall deposition prevention is achieved by inserting an optically transparent “separator plate” with a number of through-holes on its plane area underneath the optical window and by draining inert gas into the reaction chamber through this “separator plate.” With this system, silicon nitride films have been deposited without marked degradation of deposition rate, keeping a reasonable deposition rate of about 40 A/minute. The inert gas used for wall deposition prevention has no influence on the properties of deposited films.  相似文献   

2.
The mechanism and kinetics of chemical vapor deposition of silicon nitride films from SiH2Cl2 and NH3 have been studied theoretically by ab initio (MP2/MC-31G(d,p) and MP2/6-31G(d)) methods combined with the transition state and RRKM theories. Reactions involving the starting reagents and no more than one of the initial reaction products are included in the analysis. It has been found that, in the gas phase at least at T<1000 K, the formation of SiH(NH2)Cl through a bimolecular reaction between SiH2Cl2 and NH3 dominates over the dissociation of SiH2Cl2 to silylenes followed by silylene insertion into NH bonds. The mechanism of Si3N4 film growth has been examined using cluster models of the silicon nitride surface and surface groups chemisorbed on this surface. It has been found that the dangling bonds on the pure (0001) surface are relaxed to form diatomic >SiN surface groups. The calculated SiN bond length 1.62 A is considerably shorter than typical lengths of crystalline SiN bonds (1.74–1.76 A), and the surface atoms of these diatomic groups are significantly displaced from their bulk crystalline positions.  相似文献   

3.
Emitter surface passivation by low temperature plasma enhanced chemical vapor deposition (PECVD) silicon nitride is investigated and optimized in this paper. We have found that the saturation current density of a 90±10 μ/sq phosphorus diffused emitter with Ns ≈3 x 1019 and Xj ≈0.3 μm can be lowered by a factor of eight by appropriate PECVD silicon nitride deposition and photoassisted anneal. PECVD silicon nitride deposition alone reduces the emitter saturation density (Joe) by about a factor of two to three, and a subsequent photoanneal at temperatures ≥350°C reduces Joe by another factor of three. In spite of the larger flat band shift for direct PECVD silicon nitride coating, the silicon nitride induced surface passivation is found to be about a factor of two inferior to the thermal oxide plus PECVD silicon nitride passivation due to higher interface state density at the SiN/SiO2 interface compared to SiO2/Si interface. A combination of statistical experimental design and neural network modeling is used to show quantitatively that lower radio frequency power, higher substrate temperature, and higher reactor pressure during the PECVD deposition can reduce the Joe of the silicon nitride coated emitter.  相似文献   

4.
A wide range of process conditions were investigated to optimize conditions for the deposition of low stress silicon nitride films by low-pressure chemical vapor deposition. Experiments carried out in a standard, multi-wafer batch system generated films with an index of refraction ranging from about 2.04 to 2.82 and residual stress ranging from about 700 MPa tensile to –90 MPa compressive. The relationship between residual stress and index of refraction was characterized and results compared to those presented in the technical literature. Increase in the index of refraction beyond about 2.3 by means of increasing the gas flow did not reduce the residual stress appreciably but had a significant detrimental impact on the thickness uniformity and deposition rate. In contrast to results reported by other researchers, uniformity was not observed to increase with increasing DCS/NH3 ratio in this study. Efforts to minimize thickness non-uniformity by suppressing deposition rate at the gas inlet region of the deposition system while increasing deposition rate at the rear were not successful. While increasing the temperature at the exhaust end of the system was intended to improve thickness non-uniformity, significant thickness and index of refraction uniformity was not realized. The reduction in deposition rate and corresponding increase in index of refraction at the exhaust end of the system indicated a variation in gas species from inlet to exhaust of the system. These experimental results revealed that the index of refraction decreased while the deposition rate decreased with increasing partial pressure of DCS. This suggests that the inhomogeneity observed within the repeatability runs is due to ammonia depletion along the length of the load.  相似文献   

5.
The objective of this work is to fabricate and study multilayer “composite-on-insulator” sensor structures based on porous silicon and nonstoichiometric tin oxide. Two-layer structures “macroporous silicon-mesoporous silicon” on single-crystal silicon with sharp geometrical boundaries are grown. Test “composite-on-insulator” structures are fabricated. Oxide on macroporous silicon walls and a buried layer of oxidized mesoporous silicon play the role of the insulator. Nonstoichiometric tin oxide deposited onto the extended surface of oxidized macroporous silicon by chemical vapor deposition (CVD) is the sensitive layer. The gas sensitivity is studied upon exposure to NO2 and degassing in air at room temperature. The sensitivity of the por-Si/SnO x composite structures is higher than the sensitivity of tin-oxide film samples.  相似文献   

6.
Silicon nitride films were deposited at room temperature on a single crystal silicon substrate by ECR PECVD (electron cyclotron resonance plasma enhanced chemical vapor deposition). Effects of the microwave power and the reactive gas ratio (SiH4/N2) on the film properties, such as, refractive index and breakdown field were investigated. It turned out that the microwave power was closely related to the change in refractive index of the silicon nitride films, while breakdown field did not change much from 6 MV/cm. It was also found that the deposition rate, refractive index, and breakdown field were changed in a certain way with respect to the change in SiH4/N2 ratio, which could be explained in terms of the activated chemical species concentrations in the plasma during deposition.  相似文献   

7.
Plasma-deposited silicon nitride films were produced from SiH4-N2 gas mixture. Their composition, chemical bonds, and electrical properties were investigated by varying the deposition conditions. The silicon nitride films from SiH4-N2 gas mixture exhibit (i) less hydrogen, (ii) higher thermal endurance, (iii) higher density, and (iv) smaller etching rate than those of the films deposited from SiH4, and NH3 gas mixture. These results can be partly attributed to lower hydrogen concentration. As the Si/N ratio approaches the stoichiometric value, 0.75, the resistivity and the breakdown strength are increased. They are 1015Ωcm and 9MV/cm, respectively, at Si/N≃0.85. Interface state density between silicon and silicon nitride layers is as low as 1& #x223C; 5xl011cm−2 eV−1. On leave from The Northwest Telecommunication Engineering Institute, Xi’an, The People’s Republic of China.  相似文献   

8.
以低压化学气相沉积(LPCVD)热壁立式炉为实验平台,由二氯硅烷和氨通过LPCVD工艺合成氮化硅薄膜,利用降温成膜提高氮化硅薄膜的膜厚均匀度.基于气体碰撞理论建立了氮化硅薄膜沉积速率与反应气体浓度的关系式.分析比较了LPCVD炉内不同升温速率沉积氮化硅薄膜的表面性能.发现在变温沉积阶段,选择合适的降温速率是实现薄膜沉积...  相似文献   

9.
An in situ two-step process has been developed for plasma etching of poly-Si/silicon nitride/poly-Si sandwich structures for a surface micromachined tactile sensor. The first step of the process uses a CF4-based gas mixture to etch the upper poly-Si layer and the second uses a CHF3-based gas mixture to etch the silicon nitride with an etching selectivity of three over the lower poly-Si layer. Both the upper poly-Si and the silicon nitride of the sandwich structure can be etched with the same photoresist mask, while the lower poly-Si layer remains relatively un-etched. Compared with a one-step process which uses the same chemistry as in step one of the two-step process, the two-step process provides the desired etch selectivity, better uniformity and process tolerance.  相似文献   

10.
The use of disilane (Si2H6) as a silicon source for epitaxial deposition was investigated for both very low pressure chemical vapor deposition (thermal CVD) and plasma enhanced chemical vapor deposition (PECVD) from 600 to 800° C. The growth rates observed for temperatures at or below 750° C were at least an order of magnitude higher than those observed for silane (SiH4) using similar deposition conditions. An argon plasma was used to sputter clean the silicon surface, in-situ, immediately before the deposition. It was found that a low dc bias on the substrate during the argon sputter cleaning process helped remove carbon and oxide from the surface of the silicon substrate. A 16 min Ar sputter clean at 650° C, 2.5 W rf power, and •50 V dc bias resulted in a carbon and oxygen concentration at the epilayer-substrate of less than 4 × 1018/cm3 and 2 × 1018/cm3, respectively. In situ arsenic doping during disilane epitaxial growth was carried out by thermal CVD and PECVD using arsine (AsH3) diluted in silane (SiH4) at 800° C. The results were compared to similar experiments using only SiH4 as the silicon source. Up to 500 ppm of arsine was diluted in the reactant gas and it was found that the Si2H6 growth rates were insensitive to the arsine concentraton in the gas phase.  相似文献   

11.
Silicon nitride films have been deposited by low frequency 50Hz plasma CVD using a nitrogen and silane mixture at room temperature. To deposit high quality silicon nitride, the silane fraction in the nitrogen and silane mixture has to be less than 5 %. The refractive index, breakdown field strength and resistivity of the obtained silicon nitride film were 2.0, 1.2x107 V/cm and 6x1015 Ωcm, respectively. Mechanism of the deposition of high quality silicon nitride is discussed on the basis of the experimentally observed light emission spectrum from the plasma and of the electron energy distribution function in the plasma theoretically calculated by the Boltzmann equation method.  相似文献   

12.
全面介绍了等离子增强化学汽相沉积 ( PECVD)纳米氮化硅 ( Si Nx∶ H)光电薄膜的技术发展及现状 ,分析了 PECVD法沉积的 Si Nx∶ H薄膜对多晶硅太阳电池的体钝化和表面钝化机理  相似文献   

13.
In the present study, the deposition process of SiNx thin films obtained by a low-pressure chemical vapor deposition technique with a mixture of disilane (Si2H6) and ammonia (NH3) was simulated by using the kinetic Monte Carlo method. A new pattern describing the distribution of ammonia molecules in the simulation matrix was proposed. The influences of the NH3/Si2H6 gas flow ratio and the deposition temperature on the obtained films structure in terms of silicon cluster size and density were analyzed. The simulation results indicate that an increase in the gas flow ratio leads to the deposition of amorphous silicon clusters characterized by small sizes. Nevertheless, an increase in the temperature values of the process provokes an enhancement in the silicon cluster size along with a decrease in their density.  相似文献   

14.
An investigation of the optical properties of Si-rich silicon nitride films prepared by low pressure chemical vapor deposition (LPCVD) from dichlorosilane (SiH2Cl2, DCS) and ammonia (NH3) mixtures has been performed. From TEM analysis, it was found that the excess Si forms nanocrystals the size of which depends on the temperature. The real and the imaginary part of the refractive index of the films were calculated using spectroscopic ellipsometry by fitting the ellipsometric data in the range 1000–250 nm using the Tauc–Lorentz model. It was found that the optical constants of the films mainly depend on their chemical composition which can be controlled by the DCS/NH3 flow ratio. Annealing at temperatures up to 1100 °C for 4 h does not considerably affect the refractive index of the films. Depending on their stoichiometry and the annealing conditions applied after growth, some of the films emitted light in the visible at room temperature. This was attributed to the quantum confinement of carriers in the Si nanocrystals contained in the films.  相似文献   

15.
In the present work, we report silicon nitride films deposited by a radio- frequency (RF) sputtering process at relatively low temperatures (<260°C) for microelectromechanical system (MEMS) applications. The films were prepared by RF diode sputtering using a 3-inch-diameter Si3N4 target in an argon ambient at 5 mTorr to 20 mTorr pressure and an RF power of 100 W to 300 W. The influence of the film deposition parameters, such as RF power and sputtering pressure, on deposition rate, Si-N bonding, surface roughness, etch rate, and stress in the films was investigated. The films were deposited on single/double-side polished silicon wafers and transparent fused-quartz substrates. To explore the RF-sputtered silicon nitride film as a structural material in MEMS, microcantilever beams of silicon nitride were fabricated by bulk, surface, and surface-bulk micromachining technology. An RF-sputtered phosphosilicate glass film was used as a sacrificial layer with RF-sputtered silicon nitride. Other applications of sputtered silicon nitride films, such as in the local oxidation of silicon (LOCOS) process, were also investigated.  相似文献   

16.
The chemical vapor deposition onto silicon substrates by the thermal decomposition of hexamethyl disilazane (HMDS) is studied experimentally. In addition to HMDS, the gas mixture contains argon and one or two additives, which may be N2O, NH3, O2, or H2O. The growth rate, composition, and electrical properties of films produced are analyzed, and a relationship between them and the type of gas mixture employed is revealed. A possible mechanism of deposition is proposed for the case where N2O or N2O + NH3serves as the additive.  相似文献   

17.
大马士革铜阻挡氮化硅薄膜沉积工艺优化研究   总被引:1,自引:0,他引:1  
在铜大马士革(Damascene)工艺中,为避免由于铜向FSG中扩散所致电迁移的问题,需要在铜表面沉积一层氮化硅作为隔离铜和随后的介电材料的直接接触,通常人们使用HDP—CVD来沉积该氮化硅层。但针对HDP—CVD沉积速率快和工艺设备成本高等问题,文中研究了一种优化了的PECVD氮化硅沉积工艺来取代HDP—CVD氮化硅工艺。优化主要包含硬件改进和工艺参数调整。硬件改进主要通过引入锥形阴极盘面代替传统的直通形阴极盘面,以实现气体分子的更有效电离。在工艺参数上从RF功率、SiH4流量等方面也有所调整。优化后形成的氮化硅薄膜与HDP—CVD氮化硅薄膜性能非常接近,完全符合大马士革工艺的要求。同时氮化硅薄膜的沉积速率也有明显提高,工艺成本随之降低。  相似文献   

18.
This paper presents the correlation between the optical properties and the chemical and electrical properties of amorphous silicon nitride (SiNX:H) films prepared by reactor Plasma-Enhanced Chemical Vapor Deposition (PECVD). The effects of temperature and mixture of gases (NH3/SiH4/N2) on these dielectric films are investigated in this study. Silane (SiH4) and ammonia (NH3) are used as the reactive species, while nitrogen (N2) is used as a dilution gas. A particular focus is made on the improvement of the electrical properties that are strongly correlated to the physicochemical bonds films properties. The incorporation of the N2 dilution leads to the deposition rate and hydrogen content reductions in the film. An optimal gases mixture with N2 is obtained to improve the breakdown voltage at low temperature, 200 °C. Fundamental properties of these fabricated films are characterized by their elemental composition, chemical specification, residual stress, optical and electrical properties. The results experimentally show that this film can be used to improve some of the key deposition parameters for the reliability of semiconductor, microsystems and optical applications.  相似文献   

19.
Bulk and surface passivation by silicon nitride has become an indispensable element in industrial production of multicrystalline silicon (mc‐Si) solar cells. Microwave PECVD is a very effective method for high‐throughput deposition of silicon nitride layers with the required properties for bulk and surface passivation. In this paper an analysis is presented of the relation between deposition parameters of microwave PECVD and material properties of silicon nitride. By tuning the process conditions (substrate temperature, gas flows, working pressure) we have been able to fabricate silicon nitride layers which fulfill almost ideally the four major requirements for mc‐Si solar cells: (1) good anti‐reflection coating (refractive index tunable between 2·0 and 2·3); (2) good surface passivation on p‐type FZ wafers (Seff<30 cm/s); (3) good bulk passivation (improvement of IQE at 1000 nm by 30% after short thermal anneal); (4) long‐term stability (no observable degradation after several years of exposure to sunlight). By implementing this silicon nitride deposition in an inline production process of mc‐Si solar cells we have been able to produce cells with an efficiency of 16·5%. Finally, we established that the continuous deposition process could be maintained for at least 20 h without interruption for maintenance. On this timescale we did not observe any significant changes in layer properties or cell properties. This shows the robustness of microwave PECVD for industrial production. Copyright © 2005 John Wiley & Sons, Ltd.  相似文献   

20.
Hydrogenated silicon nitride(SiNx :H) thin films are deposited on p-type silicon substrates by plasma enhanced chemical vapor deposition(PECVD) using a gas mixture of ammonia and silane at 230 °C.The chemical compositions and optical properties of these films,which are dealt at different annealing temperatures,are investigated by Fourier transform infrared(FTIR) absorption spectroscopy and photoluminescence(PL) spectroscopy,respectively.It is shown that the FTIR presents an asymmetric Si-N stretching mode,whose magnitude is enhanced and position is shifted towards higher frequencies gradually with the increase of the annealing temperature.Meanwhile,it is found that the PL peak shows red shift with its magnitude decreasing,and disappears at 1100 °C.The FTIR and PL spectra characteristics suggest that the light emission is attributed to the quantum confinement effect of the carriers inside silicon quantum dots embedded in SiNx : H thin films.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号