首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 203 毫秒
1.
FIR数字滤波器的设计与实现   总被引:2,自引:0,他引:2  
在数字信号处理中,数字滤波器是一种被广泛使用的信号处理部件。分析了FIR(有限冲激响应)数字滤波器的结构特征,得到了满足系统要求的数字滤波器设计方法,结合实际工程所要求的数字滤波器指标,利用MATLAB对FIR数字滤波器进行了设计和仿真,并根据FIR数字滤波器输出的幅频特性和相频特性图对滤波器的参数进行调整,从而得到满足性能要求的最佳数字滤波器参数。采用DSP芯片实现所设计的FIR数字滤波器。  相似文献   

2.
陈帅 《信息技术》2009,33(8):21-23
为掌握理解线性相位FIR数字滤波器的幅频特性,提出了对象数字化的表示方法.引入对象变量,赋予数字量化值.根据线性相位FIR数字滤波器幅频特性的规律建立了FIR数字滤波器幅频特性的对象变量方程.对象数字化变量方程的简洁方便,更利于通过数字化运算规则理解线性相位FIR数字滤波器幅频特性的定性规律.  相似文献   

3.
探讨了运用SystemView软件,从图形化的工具和数字化的指标入手,抛开传统滤波器设计中繁琐的计算与变换,直接快速实现在数字存储示波器中,为了消除前端系统本身的噪声而设计的FIR数字滤波器。并且运用SystemView软件的分析工具,对所设计的FIR数字滤波器的幅频特性、相频特性、阻带衰减、通带纹波等性能进行了分析。通过分析报表和特性图形显示所设计的FIR数字滤波器技术指标完全满足数字存储示波器系统的技术要求。  相似文献   

4.
《现代电子技术》2015,(19):98-101
为了满足信号处理快速和灵活的要求,基于FPGA实现的FIR滤波器有这两方面的优势,使用Matlab中的FDATool计算出滤波器系数并分析其幅频特性,利用FPGA分别设计实现串行结构、全并行结构以及基于IP核的FIR数字滤波器。利用Matlab软件进行FIR滤波器仿真,并与基于FPGA实现滤波器的Modelsim仿真输出数据进行比较,结果表明,设计的FIR滤波器功能正确、滤波性能良好。通过对不同结构滤波器的资源占用情况和数据处理速度进行分析,得出不同应用场合可选择不同的滤波器结构的结论。  相似文献   

5.
吴鸣  邓鹏飞 《现代电子技术》2007,30(23):80-81,84
详细讨论了4型线性相位滤波器的幅频特性与正弦基函数神经网络算法的关系,分析了神经网络系统的稳定条件,给出了FIR滤波器优化设计实例。根据4型FIR滤波器的幅频响应特性,构造出一个相应的神经网络模型,并建立了FIR线性相位数字滤波器的神经网络算法。该算法通过训练神经网络权值,使设计的数字滤波器与希望得到的FIR线性相位滤波器的幅频响应之间的误差平方和最小化,从而获得FIR线性相位数字滤波器的脉冲响应。计算机仿真表明了该算法的有效性和优异性能。  相似文献   

6.
提出一种基于模拟退火神经网络设计FIR数字滤波器的方法,是对用神经网络设计方法的一种改进.由于线性相位FIR数字滤波器的幅频特性是有限项的傅里叶级数,因此构造了一个三层余弦基神经网络模型,并用模拟退火算法进行了优化,然后给出了高阶滤波器优化设计的实例.仿真表明经优化设计后的滤波器具有更好的性能和更稳定的效果.  相似文献   

7.
基于实序列的傅里叶变换具有的特征,提出了一种研究线性相位FIR数字滤波器幅度函数的简洁方法。首先揭示了偶对称和奇对称的线性相位FIR数字滤波器幅度函数的特点;然后研究了矩形窗函数对线性相位理想数字低通滤波器幅频特性的影响,即时域加矩形窗,频域形成过渡带;最后介绍了利用窗函数法设计FIR数字滤波器时,对窗函数的要求,选择窗函数的依据及利用窗函数法设计FIR数字滤波器的步骤,并给出了基于Hanning Window来设计FIR数字高通滤波器的实例。  相似文献   

8.
神经网络在高阶滤波器优化设计中的应用研究   总被引:6,自引:0,他引:6  
本文详细研究了FIR线性相位滤波器的幅频特性与余弦基函数网络算法的关系,证明了神经网络系统的稳定条件,给出了FIR阶滤波器优化设计实例。计算机仿真结果表明了该算法在高阶滤波器设计中的有效性和优异性能。  相似文献   

9.
基于TMS320C5402的FIR数字滤波器的设计   总被引:4,自引:3,他引:1  
刘燕  陈兴文 《现代电子技术》2005,28(14):108-109,112
DSP由于其本身具有并行的硬件乘法器、流水结构以及快速的片内存储器等资源,其技术已广泛地应用于数字信号处理的各个领域。本文主要研究了FIR滤波器的窗函数算法的基本思想及在定点DSP芯片上实现FIR数字滤波器设计方法,讨论了在具体实现时如何提高数字滤波器的计算精度和防止输出结果溢出问题,最后给出在C54系列DSK进行验证的程序和滤波前后的时域、频域的对比图。实践证明,该滤波器准确度高、稳定性好,易于移植使用,具有较强的实用性与灵活性。  相似文献   

10.
谢海霞  孙志雄 《电子器件》2012,35(5):554-557
介绍了FIR滤波器的基本结构及设计方法,结合实例,给定滤波器的数字指标。利用FDATool来确定FIR滤波器抽头系数。基于DSP平台,采用MATLB产生待滤波输入信号导入到用C语言实现的FIR低通滤波器中,并且在CCS上仿真,对仿真结果与理论值进行比较。波形仿真结果和理论值相吻和表明设计的系统是正确、稳定的。不同的应用场合,FIR滤波器要求有不同性能,只要修改本设计中滤波器的系数,就可以实现性能不同的FIR滤波器。  相似文献   

11.
夏蓉花  郑勇 《电子科技》2013,26(3):30-32,58
FIR滤波器的设计分为滤波器系数计算和滤波器结构的具体两个部分。为说明使用FPGA实现FIR的灵活性,文中列举了一个多阶串行FIR滤波器实例,并给出主要的源代码和相关模块的时序和功能说明,最后使用Matlab和Quartusii联合仿真验证了FPGA硬滤波器工程的正确性。  相似文献   

12.
相对于中值滤波而言,伪中值滤波具有计算快速等特点.通过引入负加权系数并使滤波窗口大小无奇偶限制,该文将原有的伪中值滤波扩展为具有负系数的加权伪中值滤波.基于Mallows样本选择概率理论,由FIR滤波器的脉冲响应来获得伪中值滤波器加权系数,使其具有和FIR滤波器一样的频率选择特性.仿真结果表明,本文提出的滤波方法在赋予了伪中值滤波频率选择特性的基础上,减小了计算量,保持了中值滤波所具有的优点,并且能够去除信号中高频的周期性干扰噪声.  相似文献   

13.
基于FPGA的高阶高速FIR滤波器设计与实现   总被引:1,自引:0,他引:1  
提出了一种基于FPGA的高阶高速FIR滤波器的设计与实现方法。通过一个169阶的均方根升余弦滚降滤波器的设计,介绍了如何应用流水线技术来设计高阶高速FIR滤波器,并且对所设计的FIR滤波器性能、资源占用进行了分析。  相似文献   

14.
This paper presents architecture design techniques for implementing both single-rate and multirate high-speed finite impulse response (FIR) digital filters, with emphasis on the multirate multistage interpolated FIR (IFIR) digital filters. Well-known techniques to achieve high-speed and low-power applications for the single-rate digital FIR architecture are summarized, followed by the introduction of variable filter order selection, optimal filter decomposition, memory-saving and mirror symmetric filter pairs techniques which offer further gains in both performance and complexity reduction for the multirate multistage digital FIR architecture. A filter design example with TSMC 0.25?µm standard cell for 64-QAM baseband demodulator shows that the area is reduced by 39% for low-complexity application. Moreover, for high-speed application, the chip can operate at 714?MHz. Finally, a designed decimator which is used in the CDMA cellular shows that the area is reduced by 70% as compared with conventional approach.  相似文献   

15.
李姮 《电声技术》2012,36(10):28-32
在宽带中频软件无线电台收发系统中,由于FIR滤波器具有良好的线性相位特性及实现的灵活性,通常将它作为数字上下变频中的整形低通滤波器.本设计采用altera公司的CycloneⅡ系列中的EP2C20Q240C8芯片,以一个8阶分布式算法的FIR低通数字滤波器电路为例,其主要通过LUT、加法器和移位寄存器实现.最后对该分布式算法进行了仿真验证.结果表明,该优化结构高效合理地利用FPGA硬件资源,可有效应用于高性能中频数字电台的信号处理模块.  相似文献   

16.
谢海霞 《电子器件》2012,35(2):232-235
介绍了FIR滤波器的基本的线性相位结构及FIR滤波器的抽头系数SD算法编码。给定滤波器的数字指标,用MATLB设计抽头系数,最后用Verilog HDL语言实现了一个16阶的FIR低通滤波器并在QuartusⅡ上仿真,并对仿真结果与理论值进行比较,波形仿真结果和理论值相吻和,最后将编程数据文件下载到FPGA芯片上。对于不同性能的FIR滤波器,抽头系数是变化的,因此只要对本设计的抽头系数重新在线配置,就可以实现不同的FIR滤波器。  相似文献   

17.
根据线性相位对数FIR滤波器幅度响应与线性相位FIR滤波器幅度响应的关系 ,将线性相位对数滤波器设计转换为线性相位FIR滤波器设计。该方法直接采用雷米兹交换算法即可获得线性相位对数滤波器通带和阻带的等纹波特性。另外 ,该方法既可基于频域均匀采样也可基于频域非均匀采样 ,具有一定的通用性和灵活性  相似文献   

18.
为保证所设计的FIR数字低通滤波器具有严格的线性相位,在对几种FIR基本结构的比较之后,采用了线性相位FIR滤波器的直接型结构。使用Matlab内置函数计算出滤波器的系数和检验滤波器的频率响应特性。采用C语言实现数字滤波器的设计,并在集成开发环境代码调式器(Code Composer Studio,CCS)上进行仿真,仿真结果表明,所设计的数字低通滤波器能够满足系统实时性和不失真要求。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号