首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到16条相似文献,搜索用时 62 毫秒
1.
基于CPLD的超声双晶探头窄带激励脉冲发生器   总被引:1,自引:0,他引:1  
为了满足双晶超声波检测探头探伤需求,提高检测的分辨率和精度,文章运用复杂可编程逻辑器设计了用于双晶探头激发的窄带脉冲激励装置.主要采用Altium designer开发环境、QuartusⅡ开发环境和Verilog硬件描述语言完成脉冲发生器的硬件电路设计和软件编程.具体在实现过程中还需要一些外围电路的辅助,完成人机沟通...  相似文献   

2.
基于CPLD的高速数据采集系统控制模块的设计与实现   总被引:9,自引:0,他引:9  
采用EDA技术,通过硬件实现对数据采集系统的控制,从而提高速度和可靠性.这一方法对控制逻辑的设计具有普遍意义.采用HDL Verilog和CPLD完成了对高速数据采集系统及数据存储控制逻辑的设计.仿真验证结果表明,其控制模块的采样周期达到0.4μs,设计完全满足系统控制的要求.  相似文献   

3.
本文详细介绍了复杂可编程逻辑器件(CPLD)和DSP在开关磁阻电机控制系统中的应用。CPLD的使用简化了系统结构,提高了系统工作的稳定性和可靠性。绝对式光电编码器的使用增加了系统的抗干扰能力,为高速运行下实现提前关断和提前导通提供了良好的硬件支持。  相似文献   

4.
介绍了CDMA系统中反向链路卷积编码器的原理;介绍了一种基于复杂可编程逻辑器件,利用VHDL硬件描述语言实现IS-95CDMA系统中反向链路卷积编码器的方法,并给出了在MAX+PLUSII软件下的仿真结果。  相似文献   

5.
基于VHDL的正交编码脉冲电路解码计数器设计   总被引:1,自引:0,他引:1  
  相似文献   

6.
7.
以经典的Lorenz系统为研究对象,利用FPGA数字信号处理技术实现Lorenz混沌系统,减少了外界因素的干扰.首先,对Lorenz连续系统的方程进行分解,得到离散化状态方程,接着基于DSP-Builder软件开发平台获得系统的电路模型,该模型可直接转化为VHDL语言;其次,采用硬件描述语言(Verilog HDL)直接编程的形式,对系统进行验证,并从示波器中观测到Lorenz系统的混沌波形.通过比较上述2种实现混沌系统的方法,总结其优缺点及适用范围,为进一步利用FPGA实现一类非线性系统及相关领域的研究提供实用的方法.  相似文献   

8.
为提高矩阵式编码器的译码速度,提高可靠性,设计一种新型译码方法.以可编程逻辑阵列(CPLD)为核心,采用硬件描述语言,分别实现了对编码器粗码、精码的译码及精粗码的校正逻辑运算,最终输出二进制角度代码.时间分析仿真的结果表明,译码的速度小于50ns.  相似文献   

9.
基于CPLD/FPGA技术的数字系统设计   总被引:3,自引:0,他引:3  
概要介绍在ALTERE公司研制的MAX+PLUSⅡCPLD软件开发系统上,进行数字系统设计的特点、方法。并列举了应用在系统编程的用户片,实现十字路口交通灯控制系统设计的过程。  相似文献   

10.
通过对小型光电编码器输出的光电信号精度误差来源进行研究,得出了正交性偏差是其主要误差来源的结论。针对光电编码器输出信号正交性误差的测量,引入了一种改进的坐标旋转数字计算机算法。该算法是在传统坐标旋转数字计算算法的基础上,通过改进其迭代结构得到的,能够很好地实现对光电编码器输出信号的正交性误差进行动态实时测量。MATLAB软件仿真结果显示,与其他方法相比,利用该方法测量的正交性误差范围明显更小、检测精度更高且运算速率更快。  相似文献   

11.
针对目前国内织机电子送经控制系统中经纱张力控制不足的问题,提出了一种基于ARM和CPLD的二次变速送经系统.该系统采用32位嵌入式微处理器和CPLD硬件处理构架对送经装置实施3重负反馈闭环控制,并采用PI控制算法进行经纱张力控制,使织机得以快速平稳地运行,从而织机断经率得以下降.  相似文献   

12.
基于FPGA的光电码盘位置检测系统的设计   总被引:4,自引:0,他引:4  
通过对光电码盘和FPGA在工业自动化领域应用广泛性的分析,论述了在控制系统中采用光电码盘和FPGA进行位置检测的必要性.根据增量式光电码盘进行位置检测的原理,提出了一种利用内嵌FPGA的可编程微控制器芯片ZE502实现光电码盘位置检测系统的设计方案.简略介绍了ZE502芯片的主要特点,详细分析了四倍频及辨向电路的设计原理,重点阐述了系统的整体构成,对系统中各个功能模块进行了说明.利用仿真软件Pspice对四倍频及辨向电路进行仿真分析,验证了电路功能的正确,并给出了仿真输出波形.最后分析了整个系统集成在一片芯片上的优点.  相似文献   

13.
设计了一个以Altera公司CPLD芯片EPM7128为核心的温度控制器,该温控器结构简单,性能稳定,适合环境较恶劣的控制场合。  相似文献   

14.
提出了一种基于CPLD的全双工并行通信口的扩展设计方案,其功能是通过1个并行口实现多个并行口扩展,讨论了此扩展口通信过程中握手信号的变化规则。根据本方案,设计了1个能将1个并行口扩展为2个双工并行口的实例,实现了并行通信口的扩展,并给出了仿真波形。此扩展并行口的每个子口都可与另2个并行口进行双工通信工作。  相似文献   

15.
针对CPLD的特点,结合其它能完成综合类和设计类等多种数字电路实验,认为是创设灵活自由的学习环境和设计学生自主学习方式、实现数字逻辑电路实验教学改革的一条重要途径。本文利用原理图编辑器,通过几个简单电路设计实例,介绍了应用CPLD的数字逻辑电路实验的教学方法和实验步骤。并利用HDL编辑器,介绍了数字电子琴功能的教学方法。  相似文献   

16.
论述了软开关H桥DC-DC变换电路驱动电平状态及驱动时序,设计了占空比可调、各开关管通断延迟时间可调的PWM控制信号发生器.该发生器以CPLD为硬件基础,软件使用VHDL语言编写,可用于软开关H桥DC-DC变换电路中.与专用控制芯片比较,本设计延迟时间调整灵活、便于与控制单元接口.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号