首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
Homoepitaxial chemical vapor deposition (CVD) of diamond requires high quality substrate crystals. This paper describes the process of diamond substrate crystal recovery so that the original substrate can be reused for multiple synthesis processes. A three-stage treatment is applied after homoepitaxial CVD growth. First the original substrate is separated by laser cutting, then the cut surface is mechanically polished, and finally polycrystalline material at the edges of the recovered seed plate is laser trimmed. This recovery process yields reusable diamond substrates that do not differ appreciably from their original state in terms of stresses and impurity concentrations. While the recovery process was demonstrated using HPHT seed substrates the process can also be applied to the as-grown CVD diamond plates. Infrared absorption spectral analysis, surface profilometry, birefringence imaging and Raman spectroscopy are performed after each processing step to monitor crystal quality. The nitrogen concentration in the substrate crystal remains constant throughout CVD and recovery processes. When using HPHT type Ib substrates the detected nitrogen concentration is 110–180 ppm. The nitrogen is mainly incorporated in form of C center defects and no transformation to other forms of defect centers occurs during the CVD process. Birefringence imaging showed a low level of internal stress within the HPHT crystals. No change is observed during CVD growth and recovery processes. It is shown that the polycrystalline rim removal is essential for repeatable CVD deposition on the same seed substrate. Substrate crystal recovery allows growth of up to 20 crystals from one original seed.  相似文献   

2.
The realization of photonic crystals (PC) in diamond is of major importance for the entire field of spintronics based on fluorescent centers in diamond. The processing steps for the case of diamond differ from those commonly used, due to the extreme chemical and mechanical properties of this material. The present work summarizes the state of the art in the realization of PC's in diamond. It is based on the creation of a free standing diamond membrane into which the desired nano-sized patterns are milled by the use of Focused-Ion-Beam (FIB). The optimal fabrication-oriented structure parameters are predicted by simulations. The milling strategies, the method of formation the diamond membrane, recipes for dielectric material-manipulation in FIB and optical characterization constraints are discussed in conjunction with their implication on PC cavity design. The thus produced structures are characterized via confocal photoluminescence.  相似文献   

3.
A synthetic single crystal diamond based dosimeter in a p-type/intrinsic/metal structure, operating in photovoltaic regime, is proposed for application in highly conformed radiotherapy dosimetry. The device was characterized by using 6 and 10 MV Bremsstrahlung X-ray beams and electron beams from 6 MeV up to 18 MeV, obtained by a CLINAC DHX Varian accelerator. All measurements were performed in a water phantom and commercial ionization chambers were used for calibration and comparison. Results showed a very good agreement of the diamond device response, as compared with the reference dosimeters, fast response times and high spatial resolution. One of such diamond dosimeters was then tested using a real Intensity Modulated Radiation Therapy (IMRT) prostate cancer treatment plan and its performance was compared with the ones from ionization chambers and a 2D diode array. The obtained results clearly assess the suitability of synthetic single crystal diamond for dose measurements in highly conformed radiotherapy and particularly in IMRT applications.  相似文献   

4.
5.
The design and fabrication of large radii of curvature micro-lenses in single crystal chemical vapour deposition diamond is described. An optimised photoresist reflow process and low selectivity inductively coupled plasma etching are used to actualize a uniform array of micro-lenses with radii of curvature of 13 mm or more and a high quality surface of a root-mean-square roughness of 0.18 nm. The processes developed have the potential to achieve diamond micro-lenses with an even larger radius of curvature. These new diamond micro-lenses enable the pulse energy scalable monolithic diamond Raman laser where a large radius of curvature of the micro-lenses is critical.  相似文献   

6.
利用扫描电镜和综合热分析仪对RVD类金刚石磨料中的破碎料、原生料和镀Ti料进行了表征和研究,试验结果表明:原生料晶体形状不规则,表面缺陷多,抗氧化性能低于其他两种原料,但与陶瓷结合剂的结合强度较高,制备的金刚石砂轮片的耐磨性最好.  相似文献   

7.
The most common applications of diamond crystals in X-ray optics are high-heat-load monochromators for synchrotron beamlines and phase retarders for polarization control. Here, less common applications of diamond at the frontier of X-ray crystal optics are reviewed and summarized. These include a sub-meV-bandwidth X-ray monochromator with high spectral efficiency [1] and all-diamond optical assemblies for a beam-multiplexing double-crystal monochromator at the Linac Coherent Light Source [2]. Also, novel applications for the realization of fully coherent hard X-ray sources are discussed, such as, diamond crystal optics for self-seeding of hard X-rays in the Linac Coherent Light Source [3,4] and Bragg mirrors for the highly anticipated X-ray free-electron laser oscillator [5,6]. These examples present diamond as a material for the next generation of X-ray optics, optics which can provide unique characteristics and capabilities to modern X-ray sources. In addition, details of practical importance on fabrication and characterization methods of diamond crystals with the suitable quality are presented.  相似文献   

8.
Time of Flight (TOF) measurements using conventional laser TOF and α-particle TOF setups have been carried out on high quality CVD diamond samples to study the electron and drift mobility and to compare them with the mobility data for IIA diamond. The measured mobilities for all samples investigated are in the range 2000–2250 cm2/Vs for holes and 2200–2750 cm2/Vs for electrons, thus close to the theoretical prediction as well as to IIa diamond mobility values. The charge transient profile measured in the laser TOF measurements is influenced by the electric field profile in the sample, which might be changed based on the charge trapping at low electric fields applied, depending on the surface atomic termination. The temperature dependence of the drift mobility indicates that at room temperature the scattering on acoustic phonons is the main dominant scattering mechanism and the contribution of other types of carrier scattering mechanism is negligible.  相似文献   

9.
The mechanical behavior of synthetic type IIa diamond has been investigated by the Knoop hardness measurement and observation of the cleavage surfaces. It was clarified that the Knoop hardness in (100)100 of synthetic diamonds increases with decreasing of the nitrogen impurities concentration, and that the synthetic type IIa diamond, having few nitrogen impurities, has the highest hardness of synthetic diamonds. In addition, it was found that the Knoop hardness in (100)110 of synthetic type IIa diamond is extremely high, and the anisotropy in the hardness of the diamond is different from those of natural diamond and synthetic type Ib diamond. The cleavage surfaces of the synthetic type IIa diamonds were very smooth and showed remarkably regular cleavage patterns. These results indicate that there are very few impurities and crystal defects in the synthetic type IIa diamond, and also suggest that the diamond has high resistance to plastic flow.  相似文献   

10.
Vertically aligned multiwalled carbon nanotubes (MWCNTs) have been synthesised on Ni coated single crystal diamond substrates using a glow-discharge technique. A mixture of gases including CH4, H2 and N2 has been used for growth. The effect of the CH4/H2 gas mixture and growth temperature on the structure and yield of the MWCNTs has been studied. Atomic force microscopy is used to characterise the annealed Ni film prior to growth. Scanning electron microscope studies have also been carried out to observe the yield, height and diameter of MWCNTs produced under various experimental conditions. Raman spectroscopy has been performed to provide quantitive information on the crystallinity of our as-grown MWCNTs. It has been shown that highly adherent, vertically aligned MWCNTs can be grown on type Ib diamond (100) substrates with an interface free from metal catalyst.  相似文献   

11.
The growth of millimetre-thick diamond single crystals by plasma assisted CVD is complicated by the formation of unepitaxial defects, particularly at the edges of the crystal. These defects tend to encroach on the top surface hence limiting the maximum thickness to typically a few hundreds of micrometres. Dislocations are another type of defects that are also particularly formed at the edges of the crystal. They thread through the diamond film, strongly affecting its characteristics. The growth on pyramidal-shape substrates having different angles and orientations was carried out in an attempt to solve those issues. It was found that the pyramidal-shape tends to disappear after a certain thickness is grown. The inclined faces of the pyramid not only helped in preserving the crystal morphology over a large thickness but also deviated dislocations towards the edges of the crystal, hence limiting their occurrence at the surface. Using this strategy, millimetre-thick diamond single crystals presenting a reduced dislocation density were successfully grown.  相似文献   

12.
We have conducted laser processing of ultrahard nano-polycrystalline and single crystalline diamonds (NPD, SCD, respectively) using nano-pulsed near-ultraviolet laser, and the machining properties were compared through microstructural examinations by SEM, TEM and Raman spectroscopy. The cut depth of the laser-cut grooves was observed to be deeper for the NPD than for the SCD. This is probably due to the lower thermal conductivity feature of NPD, which provides higher absorption efficiency of the laser energy and decreases the laser ablation threshold. TEM cross-section observation showed that the processed grooves in the both types of diamonds are covered with identical laser-modified layers (~ 1 µm thick) composed of roughly oriented nanocrystalline graphite. A marked difference was observed between the laser-processed surfaces of NPD and SCD: in the former the diamond–graphite interface is almost linear and undamaged, whereas in the latter the boundary is slightly folded and significantly distorted. These textural features suggest that different laser-machining processes are involved between NPD and SCD in the microscopic scale. Our results demonstrate that pulsed laser can be used even more effectively for the fabrication of nano-polycrystalline diamond than the case for single crystal diamond.  相似文献   

13.
Diamond exhibits properties of interest for applications in the medical field. It is a very attractive material for detector fabrication due to its intrinsic properties and particularly its soft-tissue equivalence (Z = 6 compared to Z = 7.42 for human tissue), mechanical robustness and radiation hardness. Detectors fabricated from natural diamonds are used in several hospitals as dosimetric tools for the dose measurement received by the patient during radiotherapy and for beam calibration. Natural diamond based devices are expensive and long delivery times are common. The use of synthetic single crystal diamond is a promising issue for point dosimeter. Here we report on the growth of synthetic diamond using the CVD technique to fabricate free standing single crystals. Samples were characterized from their optical and electronic properties (Raman, TOF) and mounted as solid ionisation chambers with blocking contacts, for the evaluation of their dosimetric properties. Clinical tests were conducted in a medical facility at the Institute Gustave Roussy (IGR) in France specialised in the medical treatment of tumours. The results obtained demonstrate that our single crystal diamond detectors comply with the required specifications for radiotherapy applications.  相似文献   

14.
In this review I summarise the procedures that have been developed to prepare well ordered, low index single crystalline diamond surfaces for surface science studies. Particular emphasis is placed on methods to smooth as polished surfaces with the aim to obtain well developed terraces with atomic order by different atomic hydrogen etching techniques.  相似文献   

15.
Brillouin light scattering has been used to investigate the elastic properties of high quality homoepitaxial diamond layers about 1 mm thick that have been elaborated by microwave plasma assisted chemical vapour deposition. Taking advantage of the detection of different acoustic modes, a complete elastic characterization of the crystal has been achieved. Three single crystal elastic constants, namely, c11, (c11  c12) / 2 and c44 have been selectively determined, respectively, from the frequency of the longitudinal and of the shear horizontal bulk modes travelling parallel to the film surface. These determinations are in agreement with the frequency of the observed surface modes and of the bulk waves propagating at different angles from a normal single crystal film plane and consistent with the properties of natural diamond. By adding a low amount of nitrogen ranging from 2 to 50 ppm in the gas phase, the growth rates were increased from 6 to 33 μm/h whereas the mechanical properties of the resulting layers remained close to those of natural diamond.  相似文献   

16.
《Diamond and Related Materials》2007,16(4-7):1049-1052
Synchrotron X-ray beams may now be focussed to < 1 μm and devices with similar spatial precision are required to monitor the beam position or provide real-time information for position control. Over the X-ray energy range 5–25 keV, diamond is an outstanding material for the fabrication of ‘semitransparent’ beam monitors. Tests were made at the ESRF with ‘electronic grade’, single crystal diamond samples which were patterned with metal contacts and operated as solid-state ionization chambers. A uniform spatial response flat within 0.2% was seen when the devices were mapped with a sub-micron synchrotron X-ray beam, and the photoelectric current generated was linear with the beam intensity and showed no time lag effects. The beam induced currents were measured both at DC and at the RF frequency of the synchrotron. A sensitivity of < 13 nm to beam movement was obtained.  相似文献   

17.
J.B. Donnet  H. Oulanti  M. Schmitt 《Carbon》2006,44(2):374-380
A combustion flame method is used to synthesize large single crystal diamond in ambient atmosphere. The basic of this technique was originally described by Hirose and Kondo in 1988 [Hirose H, Komaki K. Eur Pat Appl 1988:EP324538]. The advantage of this method is the high growth rate of diamond films, which is about 60 μm/h [Alers P, Hanni W, Hintermann HE. A comparative study of laminar and turbulent oxygen-acetylene flames for diamond deposition. Diam Relat Mat 1992;2:393-6]. The diamond can grow on itself to achieve large single-crystal. Negative substrate-bias effects on diamond growth have been investigated. Diamonds films were characterized by scanning electron microscopy, Raman spectroscopy, and atomic force microscopy in tapping mode. For given conditions, diamond coatings with highly oriented {1 0 0} crystal facets were produced. Large singles crystals diamonds were obtained. The sizes of these crystals vary between 80 and 90 μm. These results are discussed with respect to the competing events occurring during the heteroepitaxial growth of diamond.  相似文献   

18.
Single crystal diamond offers superior properties for MEMS applications to polycrystalline forms of this material. Here, a process based solely on focussed ion beam milling (Ga), has been used to fabricate nanometre-width diamond cantilevers we lengths of several tens of microns. The procedure results in low damage structures with little Ga incorporation, following post-fabrication annealing. The triangular profile of a cantilever produced using this technique is shown, theoretically, to have a factor of three improved defection response to a load typically encountered during chemical sensing compared to a conventional rectangular lever of similar dimensions.  相似文献   

19.
Diamond displays a large variety of luminescence centers which define its optical properties and can be either created or modified by irradiation. The main purpose of the present work is to study the radiation hardness of several of such centers in homoepitaxial single-crystal CVD diamond by following the evolution of photoluminescence and ionoluminescence upon 2 MeV proton irradiation. Luminescence decays were observed with values of the fluence at half of the starting luminescence (F1/2) of the order of 1014 cm? 2. The 3H center displayed a non-monotonic behavior, with a growing behavior and a subsequent decay with a rather high F1/2 value (in the order of few a 1016 cm? 2), maintaining at the highest fluences an intensity significantly higher than the blue A-band. A simple model based on a double-exponential trend was defined to fit with satisfactory accuracy the evolution of the 3H center. Several PL centers (namely: 3H, TR12, 491 nm and 494 nm) exhibited clear correlations and anti-correlations in their fluence dependences, which were considered in the attempt to acquire some insight into their possible alternative attributions.  相似文献   

20.
We report measurements of energy dissipation in single crystal diamond annular plate resonators for temperatures ranging from 4 to 300 K. An order of magnitude reduction in dissipation is observed as the temperature is lowered from room temperature (1/Q = 5 × 10−4) to 30 K (1/Q = 5 × 10−5).  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号